Тенденции и перспективы развития EDA-индустрии по материалам новостей специального Internet-портала www.DACafe.com Январь 2001 - Октябрь 2002 Долинский М.С. Введение Являясь научным руководителем СНИЛ "Новые информационные технологии" (http://NewIT.gsu.unibel.by) Гомельского государственого университета им.Ф.Скорины, автор статьи считает одной из своих главных задач изучение тенденций развития EDA-индустрии, с тем, чтобы найти для СНИЛ полезное и перспективное место на международном рынке разделения труда. В связи с этим, нами осуществляется мониторинг значительного количества печатных и электронных источников информации. Одним из таких источников является служба ежедневных новостей международного портала Design Automation Cafe (http://www.dacafe.com). Достоинствами этой службы являются ее интенсивность, полнота охвата материала и ориентация на реальные события, происходящие в EDA (Electronic Design Automation) индустрии. Поэтому анализ и классификация поступающего материала с высокой степенью достоверности помогают выявлять тенденции и перспективы развития отрасли, и, соответственно, вырабатывать собственные стратегию и тактику разработок. С января 2001 года отфильтрованные (довольно обширными) интересами СНИЛ и переведенные на русский язык новости последнего месяца (в хронологическом порядке) выкладываются по адресу http://NewIT.gsu.unibel.by кроме того, для внутренних нужд осуществляется их реструктуризация, анализ и выявление тенденций. Редакция журнала "Компоненты и технологии" предложила автору вести на регулярной основе соответствующую колонку "Тенденции и перспективы EDA-индустрии". Я согласился, однако посчитал правильным вначале изложить уже накопленный материал. Материал предлагается в систематическом изложении в следующем порядке: 1. Борьба за интероперабельность 2. Требуются и начинают возникать средства отладки мультипроцессорных систем 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика. 4. Генераторы моделей процессоров 5. От C++ к HDL и обратно 6. IP-компоненты процессоров 6.1. ARM шагает по планете 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных 6.3. И другие процессорные ядра 6.4. Сетевые процессоры 7. В мире ПЛИС 7.1. ПЛИС расширяют сферу применения 7.2. Развитие средств проектирования ПЛИС 7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.1. Цифровая обработка сигналов 7.3.2. Телекоммуникации 8. IP-компоненты для ПЛИС и ASIC 8.1. DSP-обработка 8.2. Телекоммуникации 8.3. Как распространяются IP-компоненты 9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. 9.2. Другие языки и средства верификации симуляцией 9.3. Средства формальной верификации 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС 10.2. On-line - прототипирование ASIC 10.3. Персональные средства для прототипирования ASIC 11. Отладка программного обеспечения для микроконтроллеров 11.1. Разработки Applied Microsystems 11.2. И все остальные 12. Обучение - ключ к продаже 12.1. Очные семинары 12.2. On-line - обучение В каждом разделе материал приводится в хронологическом порядке. Это позволяет почуствовать "интенсивность" тенденции и проанализировать процесс ее развития во времени. Дополнительно все разделы снабжены небольшими пунктами "Обобщения и выводы", ориентированными на начинающие (start-up) компании, которые ищут свое место на рынке и анализируют, чем именно нужно заниматься сейчас, в ближайшей и дальней перспективе. В последующем предполагаются более расширенные авторские комментарии, сокращенные сейчас до минимума в связи с обилием накопившегося за два года фактического материала. По той же причине и сам фактический материал приводится в "телеграфном" стиле, однако везде, где возможно, приводятся ссылки на сайты, содержащие необходимую информацию в полном объеме. Автор надеется, что предлагаемый материал представляет интерес для значительной части читателей журнала "Компоненты и технологии" и с удовольствием воспримет комментарии, замечания и предложения от всех, кто прочитает этот материал. Пишите на адрес dolinsky@gsu.unibel.by 1. Борьба за интероперабельность 1.1. Только факты ======================================================================= 4 февраля Коалиция OpenAccess анонсирует программу OpenConnect 2002 для разработки технологии совместимости проектов ======================================================================= Коалиция OpenAccess создана для выработки стандартов реальной совместимости между инструментальными системами проектирования IC. OpenAccess базируется на базе данных Genesis, разработанной Cadence Design Systems - первой в индустрии открытой стандартной базе данных. Она позволит создавать реально совместимые инструментальные системы проектирования. Коалиция OpenAccess и Cadence достигли соглашения, в соответствии с которым исходные коды OpenAccess будут опубликованы на www.OpenEDA.org по следующему графику: - июль 2001 - спецификации OpenAccess API и двоичные библиотеки - февраль 2002 - исходники и обновленные двоичные библиотеки, открытые для членов коалиции OpenAccess - февраль 2002 - исходники OpenAccess (версия 1) для широкой общественности. - февраль 2002 - описание OpenAccess API (версия 2) - для членов коалиции OpenAccess - апрель 2002 - (на OpenAccess Conference) - описание OpenAccess API (версия 2) - для широкой общественности - второй квартал 2002 года - исходники версии 2 для членов коалиции - четвертый квартал 2002 года - релиз исходников второй версии для членов коалиции - первый квартал 2003 года - релиз исходников и двоичных библиотек для широкой общественности OpenAccess версии 2 специально проектируется для разработок и использования в гетерогенных средах. OpenAcess API версии 2 не обязательно будет совместим с API версии 1. Поэтому последний рекомендуется использовать только в ознакомительных целях. Дополнительная информация : http://www.OpenEDA.org http://www.si2.org http://www.cadence.com ======================================================================= 16 мая Открытое письмо от Lavi Lev, Cadence Design Systems, Inc. 2002 ======================================================================= Дорогие коллеги Мы призываем все ведущие EDA компании поддержать создание открытой, интероперабельной инфраструктуры базы данных. Мы знаем, что мы работаем в мире множества баз данных: от Cadence, Synopsis, Avant! и других, а также собственных баз данных электронных компаний. Ни одна компания не может в одиночку решить проблемы, которые возникнут перед нашим сообществом в ближайшие 10 лет. Поэтому мы должны начать построение интероперабельной структуры данных - в интересах наших пользователей. В последнее время OpenAccess Coalition постоянно получает положительные отклики на работу по созданию открытого API и рапространению открытых исходных текстов. Создаваемая этим интероперабельность позволит сокращать "время выхода на рынок" электронных компаний. Поэтому мы призываем всех производителей баз данных проектов сделать открытый API и свободный доступ к соответствующим исходным текстам. Если мы все откроем наши базы данных, это позволит получить значительную выгоду и EDA-, и электронным компаниям. Sincerely, Lavi Lev Cadence Design Systems, Inc. Member, OpenAccess Coalition Дополнительная информация : http://www.OpenEDA.org ======================================================================= 3 июня Cadence выпускает OpenAccess Database Source Code (версия 2) 2002 г. ======================================================================= В феврале 2002 года Cadence выпустила OpenAccess v.2 API для членов OpenAccess Coalition. Теперь выпущены открытые исходники OpenAccess для членов коалиции. Предполагается открытие исходников для широкой общественности в декабре 2002 года. Релиз открытых API и исходников OpenAccess планируется на начало 2003 года. OpenAccess версии 1 поддерживала данные для чисто цифровых проектов и была разработкой для внутренних нужд Cadence. OpenAccess версии 2 поддерживает данные для любых цифровых и аналоговых проектов и призвана стать основой новых средств EDA. OpenAccess - это API и высокопроизводительная база данных для сложных цифровых, аналоговых и смешанных проектов. Ее архитектура разрабатывалась для простой интеграции и быстрой разработки приложений на ее основе. Сегодня среды разработки включают собственные базы данных, с собственными несовместимыми форматами и синтаксисами. Интеграция таких средств приводит к потере времени и разработке дополнительных конверторов с тысячами строк кода. Дополнительная информация : http://www.OpenEDA.org http://www.cadence.com =================================================================== 3 июня TransEDA и Novas Software повышают производительность отладки с помощью верификации с проверкой свойств =================================================================== Теперь VN-property DX от TransEDA поддерживает открытую базу сигналов от NOVAS (FSDB- Fast Signal Database). Когда VN-property DX обнаруживает нарушение свойств, дальнейшая отладка может проводиться с помощью Debussy. VN-Property DX версии 2002.07 с поддержкой Novas Debussy и FSDB будет доступен в июле 2002. Цена на VN-Property DX - от $15,000 за годовую подписку. TransEDA имеет библиотеку верификации свойств дял продвинутых процессоров и стандартных шин. 18 из 20 ведущих производителей чипов используют продукты TransEDA. Дополнительная информация : http://www.transeda.com ======================================================================= 10 июня Altera и Synplicity вводят новый открытый стандарт интерфейса 2002 для физического синтеза FPGA ======================================================================= Новый стандарт получил название PSDF (Physical Synthesis Design Format). PSDF увеличивает интероперабельность между средствами физического синтеза, размещения и трассировки. Altera и Synplicity сделали этот формат открытым. Следующая версия Altera Quartus II будет выводить результат логического синтеза в формате PSDF. А Synplicity Amplify Physical Optimizer 3.1 поддержит на входе PSDF. Дополнительная информация : http://www.altera.com http://www.synplicity.com ======================================================================= 15 июля Inventra IP (подразделение Mentor) присоединилась к OCP-IP 2002 ======================================================================= OCP-IP (Open Core Protocol International Partnership) было сформировано в декабре 2001 года для продвижения и поддержки открытого протокола OCP для обеспечения интеграции виртуальных компонент. OCP разработан в Sonics. Вместе с Sonics основателями OCP-IP стали Nokia, Texas Instruments, MIPS Technologies и United Microelectronics Corporation. Дополнительная информация : http://www.OCPIP.org http://www.mentor.com ======================================================================= 7 августа Zenasis Technologies присоединилась к Cadence Connections 2002 Program ======================================================================= Zenasis Technologies - это EDA-компания, разрабатывает средства физического синтеза. Цель Cadence Connections Program - обеспечить интероперабельность между EDA-продуктами различных производителей. Дополнительная информация : http://www.connectionsprogram.com http://www.zenasis.com ======================================================================= 28 октября SynTest интегрировала свой TurboFault Simulator в 2002 отладчик Debussy фирмы Novas ======================================================================= Говорит George Bakewell (Novas): "Мы рады, что такая популярная система симуляции интегрирована с нашим средством отладки. Мы уверены, что наш подход, основанный на открытом API и общей модели данных - перспективная основа для интеграции всех продуктов, необходимых разработчику." Симулятор TurboFault взаимодействует непосредственно с FSDB (Debussy Fast Signal Database) через открытую и расширяемую архитектуру. Debussy использует FDSB для хранения результатов от TurboFault. Разработчики могут читать тесты из FDBS и использовать их при симуляцию несиправностей в TurboFault. Результаты симуляции сохраняются в FDSB и средства Debussy могут быть использованы для анализа. Debussy имеет средства интеграции более чем с 25 ведущими провайдерами симуляторов, эмуляторов и средств формальной верификации. TurboFault обеспечивает симуляцию неисправностей и сравнительный анализ результатов симуляции исправной и неисправной схем. Результаты могут сохраняться в виде ASCII, VCD (Value Change Dump), Novas FSDB. TurboFault с интеграцией в Debussy продается для Sun Solaris, HP-UX и Linux на PC по цене от $100,000 за трехлетнюю лицензию. Дополнительная информация : http://www.syntest.com http://www.novas.com 1.2. Обобщения и выводы Масштабность и сложность задач, возникающих перед разработчиками электронных систем будущих поколений предписывает объединять усилия создателям средств автоматизации проектирования. Выдвинут специальный термин 'INTEROPERABILITY', который означает способность и удобства объединения возможностей от инструментальных систем проектирования разных производителей, простоту передачи данных проектов от одной такой системы к другой. Start-Up компании ("новички") могут найти эффективные ниши в международном разделении труда, если "угадают" наиболее перспективные "стандарты и интерфейсы" и начнут свои разработки, базируясь на них. "Ветеранов" EDA-разработок ситуация подталкивает к открытию интерфейсов со своими продуктами и к активному участию в выработке стандартов, минимально противоречащих нынешним разработкам. 2. Требуются и начинают возникать средства отладки мультипроцессорных систем 2.1. Только факты ======================================================================= 10 июня Adelante Technologies лицензировала у Mentor Graphics 2002 XRAY Debugger для мультипроцессорных систем ======================================================================= - Для отладки SoC, которые включают высокопроизводительные DSP-ядра, спецпроцессоры и RISC-процессоры. XRAY Debugger будет поставляться как часть IDE Atmosphere. Сильно-оптимизированные DSP-проекты часто используют множество ядер с нестандартным размером слова (13 бит, 27 бит и т.д.) для получения нужной точности при минимальном использовании силикона. XRAY разработан специально для того, чтобы обрабатывать любую ширину слова. Другое достоинство XRAY заключается в том, что он позволяет разработчикам встроенных SoC систем быстро построить приложение оптимизированое под любой из Adelante DSP процессоров. XRAY также поддерживает язык программирования DSP-C. Наконец, XRAY поддерживает отладку программного обеспечения для мультипроцессорных систем. Platform Express от Mentor включила поддержку Adelante DSP процессоров. Platform Express - это открытая среда совместной разработки и верификации программного и аппаратного обеспечения. Platform Express генерирует пользовательскую среду для верификации интерфейсов процессора с окружением. Дополнительная информация : http://www.adelantetech.com ======================================================================= 13 июня Mentor Graphics и FS2 (First Silicon Solutions) анонсируют 2002 IDE для разработки мультипроцессорных систем на базе MIPS 4KE ======================================================================= Новая фирма Silverback Systems выбирает эти средства для разработки спецпроцессоров сетевой памяти. Новые SoC системы часто включают несколько процессоров. Синхронизация управления и обеспечение отладки и визуализации параллельно исполняющихся программных потоков представляет значительные проблемы для разработчиков системного и прикладного ПО. Новая IDE интегрирует XRAY Debugger от Mentor Graphics с System Analyzer от FS2. Используя FS2 OCI (On-Chip Instrumentation) они интегрируеют мощные встроенные средства отладки, разработанные совместно FS2 и MIPS Technologies для процессоров MIPS32 4KE. Эти средства позволяют синхронизировать задачи управления и отладки для любого количества CPU в проекте, не требуя дополнительной логики или программного обеспечения, обеспечивая пользователя единым графическим интерфейсом и работой в реальном времени. Основные достоинства новой IDE: - синхронизированные, в реальном времени, старт и останов всех процессоров, отдельных процессоров или любого подмножества процессоров в проекте - простое управление множеством CPU - единый графический интерфейс и единая сессия отладки для всех функций пользователя (другие средства требуют множества сессий отладки и сложной конфигурации для поддержки мультипроцессорных систем) - сохранение трассы исполненных инструкций в реальном времени, загрузка/сохранение адресов и данных для всех процессоров - симуляция на уровне системы инструкций для ускорения тестирования и разработки прикладного программного обеспечения до появления целевой аппаратной платформы - поддержка случайной инициализации RAM памяти с минимальной задержкой - поддержка MIPS сопроцесора COP2 - среда отладки Seamless, поддерживающая совместную разработку и отладку программного и аппаратного обеспечения - поддержка GNU C/C++ компиляторов для MIPS процессоров First Silicon Solutions разрабатывает и поставляет IP-компоненты и програмные средства для тестирования и отладки программного и аппаратного обеспечения SoC. Дополнительная информация : http://www.fs2.com http://www.mentor.com ======================================================================= 17 июня Mentor Graphics адаптирует XRAY Debugger к мультипроцессору 2002 Xtensa IV фирмы Tensilica ======================================================================= Для процессоров Xtensa любой конфигурации обеспечивается отладка при симуляции ПО, совместной верификации программного и аппаратного обеспечения, отладке на чипе (через JTAG интерфейс). XRAY Debugger обеспечивает управление сессией отладки посредством С-подобного макроязыка, с встроенным редактором макрокоманд. XRAY Debugger поддерживает компиляторы Xtensa XCC и GNU GCC. XRAY Debugger для процессоров Xtensa доступен для платформ Sun Solaris и Microsoft Windows NT/2000 по цене от $6,000 за одно рабочее место. Tensilica основана в 1997. разрабатывает семейство конфигурируемых пользователем процессоров XTensa, включает около 140 инженеров в Santa Clara, California; Burlington, Massachusetts; Princeton, NJ; Austin, Texas; Raleigh, NC; Oxford, U.K.; Stockholm, Sweden; Taipei, Taiwan, R.O.C.; Yokohama, Japan. Дополнительная информация : http://www.tensilica.com http://www.mentor.com ======================================================================= 2 августа Silverback Systems использует средства отладки 2002 мультипроцессорных систем от Mentor и FS2 (First Silicon Solutions) для своего семейства сетевых процессоров iSNAP ======================================================================= XRAY от Mentor и System Analyzer от FS2 значительно повысили скорость разработки iSNAP (Storage Network Access Processor). iSNAP обеспечивает оптимизированное отображение TCP/IP и ULP (upper layer protocols, например iSCSI) на протоколы в железе, программируемых машинах состояний и микропрограммах. iSNAP 2100 включает пять 32-битных MIPS 4KEp ядер, которые обрабатывают iSCSI, TCP и административные функции. XRAY Debugger был бесшовно интегрирован с System Analyzer от FS2 для упрощения отладки проектов с любым количеством ядер, включая даже смешанные CPU/DSP среды. Поддерживаются синхронные старты, остановки, точки останова, все через интерфейс XRAY Debugger. По словам Neil Henderson, генерального менеджера Embedded Systems Division фирмы Mentor Graphics: "Никакое другое средство на рынке не обеспечивает такой уровень функциональности". Дополнительная информация : http://www.silverbacksystems.com http://www.fs2.com http://www.mentor.com 2.2. Обобщения и выводы Современные технологии обеспечивают возможность создания чипов, с функциональностью десятков и даже сотен процессоров. С другой стороны, дальнейшее повышение производительности вычислительных систем связано именно с организацией параллельных вычислений на мультипроцессорных системах. И, наконец, имеется огромное количество актуальных задач, допускающих распараллеливание и требующих многократного увеличения производительности. Однако разработка мультипроцессорных систем и, соответственно, решение прикладных задач с их помощью, существенно сдерживаются отсутствием средств разработки и отладки мультипроцессорных систем. По имеющимся данным, коммерчески доступной является фактически только одна разработка - Seamless фирмы Mentor Graphics (базирующаяся на XRAY Debugger в части отладки программного обеспечения). Все другие средства отладки мультипроцессорных систем являются строго ориенированными на конкретное семейство процессоров. Очевидна потребность в средствах отладки мультипроцессорных систем, настраиваемых на целевую архитектуру и допускающих использование в системе процессоров различных типов (RISC, CISC, DSP, специализированных процессоров и т.д.) 3. Требуются и разрабатываются средства отладки однопроцессорных систем - платформ: процессор + память + программирумая логика. 3.1. Только факты ======================================================================= 1 августа inSilicon и Sonics сотрудничают в создании SOC-платформы 2001 для сетевой обработки (на базе MIPS32) ======================================================================= InSilicon и Sonics объявили о выпуске SOC-платформы, базирующейся на Sonics MicroNetwork и интегрирующей IP-компоненты от inSilicon: USB Host Controller, IEEE-1394 Host Controller, Ethernet MAC, PCI. Используется стандартный интерфейс OCP (Open Core Protocol). В качестве примера применения демонстрируется одночипный SOHO- роутер, интегрирующий CPU MIPS32 4kc как системный контроллер, два устройства 10/100 Ethernet MAC (WAN-side и LAN-side), USB Host, PCI интерфейс, разработанные inSilicon; встроенная синхронная SRAM, контроллер памяти DRAM - все объединены посредством конфигурируемой Sonics MicroNetwork. Обеспечивается гибкое добавление и удаление любых OCP-совместимых устройств без переработки проекта. Эта платформа доступна для проектирования и симуляции на сайте Sonics' SOCworks. По отзывам - время проектирования на базе такой платформы уменьшается на 6 месяцев. Дополнительная информация : http://www.insilicon.com http://www.sonicsinc.com ======================================================================= 13 августа Philips Semiconductors выпускает Ultimate One Chip/Plus 2001 - платформу для цифрового телевидения (на базе 80c51) ======================================================================= Ultimate One Chip/Plus - это платформа для разработки систем цифрового телевидения, включающая процессор TV сигналов, декодер телетекста, графический генератор, микроконтроллер с расширенным набором инструкций на базе 80C51, программируемую память. Имеются специализированные средства разработки программного обеспечения. Дополнительная информация: http://www. semiconductors.philips.com ======================================================================= 22 августа PlayMedia оптимизировала свою MP3 платформу под 2001 микропроцессор Strong ARM и микроархитектуру XScale ======================================================================= Разработанная в течение последних двух лет аудиовизуальная платформа NewArk позволяет выполнять MP3-кодирование и декодирование, видео-кодирование и декодирование, и специальные аудио-эффекты, такие как эхо и затухание. Платформа NewArk состоит из базовой структуры и значительного количества отдельных модулей, исполняющих специальные задачи аудио- или видео- обработки. Кроме того, могут быть добавлены модули, разработанные пользователем. Средства разработки устройств на базе платформы NewArk имеются или разрабатываются для Windows, Symbian, Unix/Linux, Palm OS, MAC. Дополнительная информация: http://www.playmediasystems.com ======================================================================= 14 января Excalibur фирмы Altera поддерживается Beach Solutions 2002 ======================================================================= Beach Solution объявила, что будучи членом EPP (Excalibur Partner Program), Beach Solution разработала EASI-Integrator - средство интегрированной поддержки пользователей Excalibur с процессором ARM, базирующееся на EASI-Gen - средстве, которое предназначено для автоматизации генерации аппаратного проекта, документации на продукт, библиотек доступа на С и верификационного кода. EASI-Integrator позволяет разработчику описать все детали регистровой карты каждого периферийного блока в одном централизованном файле. Затем из этого единого источника, различные EASI генераторы могут автоматически создать множество выходных файлов, нужных проекту, которые традиционно разрабатываются вручную. Эти файлы включают библиотеки доступа на C, тестирующий код, интерфейсную логику (на VHDL или Verilog) для присоединения периферийного устройства к внутрикристальной AMBA шине. Кроме того, автоматически генерируются в HTML-формате и все документы, описывающие регистровые пространства периферийных устройств. EASI-Integrator полностью совместим с Altera Quartus, включает средства обучения новых пользователей. Дополнительная информация : http://www.beachsolutions.com http://www.altera.com ======================================================================= 3 апреля Mentor Graphics и Atmel аноносировали OEM-соглашение 2002 для обеспечения проектирования PSOC ======================================================================= Atmel лицензировала 3 лучших продукта Mentor Graphics, специализированных под FPSLIC на базе AVR: среду совместной верификации программного и аппаратного обеспечения Seamless, HDL-симулятор ModelSim и синтезатор LeonardoSpectrum. Эти продукты интегрированы в System Designer, включающий также AVR Studio (компилятор, отладчик и симулятор на уровне системы команд) и средства размещения и трассировки от Atmel. В результате System Designer позволяет совместную разработку и верификацию программного обеспечения для AVR и аппаратного обеспечения описанного на HDL. Разработчик может наблюдать/редактировать счетчик программ, память, регистры, периферийные устройства, а также анализировать производительность системы на базе FPSLIC. Для ввода проектов System Designer имеет HDL Planner, который позволяет разработчикам, не знакомым с HDL-языками быстро создавать синтаксически корректные Verilog или VHDL проекты для FPGA внутри FPSLIC. Используя методологию "сверху-вниз" разработчик создает аппаратные компоненты используя более 50 макро-генераторов. ATSTK94 FPSLIC Starter Kit включает лицензию на 4 месяца и оценочную плату, цена - $495. System Designer 2.0 стоит $995 за годичную лицензию и $2,495 - за вечную лицензию. ======================================================================= 8 апреля Xilinx и CoWare намерены сотрудничать в создании средств 2002 разработки Virtex II Pro на системном уровне ======================================================================= При наличии таких средств пользователи смогут эффективно совместно разрабатывать программное и аппаратное обеспечение для Xilinx Virtex-II Pro чипов, имеющих встроенный процессор Power PC 405, а также для чипов Xilinx Virtex-II, используя синтезируемое описания ядра процессора MicroBlaze. Демонстрация этих средств планируется на Programmable World 2002 http://www.xilinx.com/pw2002 N2C фирмы CoWare будет интегрирован со средствами разработки Xilinx FPGA. В 2000 году CoWare была признана 5-ой в числе самых быстрорастущих компаний (по материалам San Jose Business Journal). В числе пользователей CoWare : Alcatel, ARM, Canon, Fujitsu, IBM, InterDigital, Matsushita, Motorola, Nokia, Samsung, Sanyo, Sony, STMicroelectronics, Tensilica, Toshiba, Xilinx. Дополнительная информация : http://www.CoWare.com ======================================================================= 22 апреля Xilinx и EV Engineering распространяют среду 2002 ко-симуляции с Virtex II ======================================================================= EV Engineering (Emulation and Verification Engineering) (французская EDA фирма, основанная в 2000 году, специализирующаяся на создании продвинутых средств верификации) и Xilinx предлагают семейство высокопроизводительных ко-симуляционных платформ ZeBu для проектирования систем на базе Virtex-II X2CV6000 FPGA. ZeBu был анонсирован на DATE 2002 и принят на оценку 5 ведущими электронными гигантами. Стартовая цена ZeBu ZV-6000 - $49,000. В октябре 2002 года предполагается ввод второй версии - ZeBu для Virtex XC2V8000. Virtex-II XC2V6000 FPGA - это самая большая FPGA от Xilinx. Она содержит 67,000 LUT (look-up tables), 67,000 регистров, 144 умножителя 18*18, 144*18 Кбит SRAM (всего вместе примерно 6 млн системных вентилей), может иметь до 1100 входных/выходных контактов. Xilinx ISE обеспечивает короткое время компиляции. Дополнительная информация : http://www.eve-team.com http://www.xilinx.com ======================================================================= 24 апреля Cypress MicroSystems добавила в свою PSoC IrDA модуль 2002 ======================================================================= IrDA (Infrared Data Association) модуль обеспечивает возможности беспроводного обмена данными с этой PSoC. Кроме IrDA на PSoC интегрированы 8-битный CPU, от 8 до 16 кбайт флеш-памяти, SRAM и программируемые массивы аналоговых и цифровых функций. Дополнительная информация : http://www.cypressmicro.com http://www.cypress.com =================================================================== 4 июня CoWare лидирует в поддержке SystemC 2002 =================================================================== CoWare объявила язык SystemC около 3 лет назад. CoWare N2C успешно используется такими фирмами как Matsushita, Sony, STMicroelectronics и др. для совместной верификации программного и аппаратного обеспечения. CoWare N2C для ARM AMBA обеспечивает производительность до 100 КГц. CoWare N2C может быть использован для верификации продукта на базе ARM Wireless PrimeXsys Platform, включающего МП ARM926, периферийные устройства из ARM PrimeCell, шину AHB. CoWare N2C Design это семейство продуктов для совместной разработки ПО/АО, полностью поддерживает SystemC 2.0., включая библиотеку взаимодействия "master-slave". CoWare N2C Design состоит из: CoWare N2C Advanced System Designer, CoWare N2C System Designer, CoWare N2C HDL Import. Семейство CoWare N2C поддерживатся пакетом моделей процессоров и шин. Дополнительная информация : http://www.CoWare.com =================================================================== 26 июня Accelerated Technology использует E-SIM от Innoveda для симуляции и тестирования =================================================================== Accelerated Technology - это подразделение встроенных систем фирмы Mentor Graphics. E-SIM - это исполнительная платформа на хост-компьютере для разработки и тестирования программного обеспечения встроенных систем. Используя модели, E-SIM позволяет разработку ПО в отстутствие аппаратных прототипов. E-SIM также имеет мощные средства регрессионного тестирования: средства записи/воспроизведения, файлы входных стимулов, командный язык скриптов. Все это позволяет построить автоматическое тестирование и выполнять регрессионное тестирование встроенного ПО для того, чтобы отслеживать нежелательные сторонние эффекты от изменений ПО во время разработки и сопровождения. Для разработки ПО можно использовать Visual C/C++ IDE и отладчик. E-SIM доступен для ОС Win32, Sun Solaris, HP-UX и Linux. Innoveda работала над E-SIM 7 лет. E-SIM продается через Accelerated Technology по цене от $12,500. Дополнительная информация : http://www.acceleratedtechnology.com http://www.mentor.com ======================================================================= 8 июля MontaVista Software и Xilinx анонсируют полную среду 2002 разработки для Virtex-II Pro FPGA под Linux ======================================================================= Virtex-II Pro Platform FPGA интегрирует ядро IBM PowerPC, до 10 Мбайт RAM и до миллиона вентилей программируемой пользователем логики. MontaVista Software одной из первых поддержала Linux на PowerPC и PowerNP . MontaVista Linux Professional Edition 2.1 для Xilinx Virtex-II Pro будет доступна с 4-го квартала текущего года. MontaVista Software Inc. основана в 1999 году. MontaVista Linux Professional Edition распространяется в исходниках и машинных кодах для x86/IA-32, PowerPC, StrongARM, MIPS, SH, ARM, XScale, Xtensa и других архитектур. Дополнительная информация : http://www.mvista.com ======================================================================= 22 июля Mentor Graphics и Xilinx выпускают средства ко-верификации 2002 для Xilinx Virtex-II Pro ======================================================================= Seamless от Mentor будет адаптирован к Xilinxо Virtex-II Pro для поддержки процесора PowerPC 405. Распространение начнется в 4-ом квартале 2002 года, по цене от $24,000. Дополнительная информация : http://www.mentor.com/seamless http://www.xilinx.com ======================================================================= 30 сентября CoWare и SuperH анонсируют поддержку процессоров 2002 SH-4 и SH-5 в CoWare N2C ======================================================================= CoWare и SuperH сотрудничают уже 4 года. Для симуляции аппаратного обеспечения поддерживается интеграция с ведущими RTL-симуляторами. Цена - $7,500 для SH4/CoWare N2C для Solaris. SuperH - основана в 2001 году по соглашению STMicroelectronics и Hitachi для создания независимой фирмы по разработке процессоров для открытого рынка. В настоящее время ведутся разработки SH-6 и SH-7. Дополнительная информация : http://www.superh.com http://www.CoWare.com 3.2. Обобщения и выводы Одним из наиболее перспективных средств повышения производительности разработчиков встроенных систем является "система на кристалле": SoC (System-on-a-Chip). Обычно SoC объединяет на одном чипе микропроцессор, встроенные периферийные устройства, память и программируемую логику. Наиболее активными поставщиками подобных чипов являются - Xilinx (семейство FPGA Virtex II Pro с предразмещенным процессором Power PC и семейство FPGA Virtex II с возможностью использования soft-процессоров MicroBlaze и PicoBlaze) - Atmel (семейство FPGA FPSLIC с предразмещенным процессором AVR, ARM или софт-процессором Nios) Среди упоминаемых средств отладки - Seamless фирмы Mentor Graphics и N2C фирмы CoWare. Очевидна потребность в средствах отладки SoC-систем. При этом желательно, чтобы эти средства отладки были настраиваемыми на процессор и его аппаратное окружение. Важнейшим показателем для средств отладки является производительность симуляции/исполнения программного обеспечения - от сотен тысяч до миллионов инструкций в секунду. 4. Генераторы моделей процессоров 4.1. Только факты ======================================================================= 3 июня LISATek анонсирует новый релиз своего уникального продукта для проектирования встроенных процессоров новых поколений ======================================================================= Все компоненты продукта EDGE Processor Designer, RIM Software Designer и HUB System Integrator существенно улучшены, кроме того обеспечена интеграция с Synopsys CoCentricо System Studio. Технология фирмы LISATek базируется на едином языке описания LISA 2.0 (Language for Instruction Set Architecture), который является расширением C/C++. Продукты LISATek составляют полную унифицированную платформу для более быстрой разработки и верификации встроенных процессоров. Интеграция с Synopsys CoCentric System Studio Simulator обеспечивает совместную верификацию алгоритмов, архитектур, аппаратного и программного обеспечения на различных уровнях абстракции. Симуляция на уровне транзакций в System Studio позволяет создать виртуальный прототип SoC, исследовать ее архитектуру, разработать и верифицировать необходимое программное обеспечение. Продукты LISATek генерируют транзактные модели процессоров на SystemC. EDGE Processor Designer упрощает разработку встроенных процессоров, включая микроконтроллеры, DSP, сетевые процессоры, и спецпроцессоры (ASIP). EDGE генерирует средства для исследования семейства процессоров и упрощает интерактивное редактирование проекта. Последний релиз EDGE поддерживает расширенную симуляцию памяти, мультипроцессорные средства и генераторы моделей шин. RIM Software Designer - полная среда разработки программного обеспечения для процессора, сгенерированного EDGE. Она содержит симуляторы LISATek, включая JIT-CC - самый быстрый симулятор системы инструкций, а также средства кодогенерации (ассемблер и линкер), дизассемблер, и отладчик. Новые возможности включают поддержку загрузчиком различных форматов таких как COFF (common object file format), ELF (executable and linking format) и IntelHEX, пользовательских форматов. Обеспечивается сохранение истории исполнения инструкций для поддержки отладки прикладного ПО. HUB System Integrator позволяет разработчикам аппаратного обеспечения интегрировать и верифицировать встроенные процессоры, сгенерированные EDGE, в среду разработки SoC. Новый релиз HUB включает возможность симуляции мультипроцессоров, для верификации гетерогенных систем встроенных процессоров с использованием сторонних отладчиков. Имеется настраиваемый API-интерфейс симуляции для взаимодействия с различными системами разработки SoC, включая Synopsys CoCentric System Studio. Комплект средств от LISATek версии 2002.2 доступен для ОС Solaris 2.7/2.8, Linux, Windows NT/2000. Цена - от $50,000. LISATek основана в 2001 году. Ее продукты базируются на технологии, разработанной в Университете Технологии г.Аахен, Германия. Дополнительная информация : http://www.lisatek.com/products.html 4.2. Обобщения и выводы Современные технологии изготовления ПЛИС обеспечивают возможность создания макетных образцов "на столе" разработчика. С другой стороны массовость выпуска потребительских электронных устройств обязывает оптимизировать проекты по производительности, энергопотреблению и т.д. Все эти факторы подталкивают к более адекватным исследованиями проектного пространства вплоть до разработки специальных процессоров под каждую область приложений. Для выполнения такого рода предпроектных исследований необходимы средства описания архитектуры, генерации из этих описаний необходимых моделей и системного программного обеспечения, поддерживающего компиляцию, исполнение, отладку и анализ прикладного программного обеспечения для данной предметной области. LISATek первой предложила на рынке соответствующую коммерческую разработку. Интересно отметить следующие факты: - фирма LISAtek организована в 2001 году - основой продукта послужила академическая разработка. Очевидно, что эта ниша рынка абсолютно свободна и здесь еще можно найти свое место. 5. От C++ к HDL и обратно 5.1. Только факты ======================================================================= 5 ноября Beach Solutions анонсирует автоматическую генерацию C++ 2001 для IP-компонент периферийных устройств ======================================================================= EASI-C++ - ((Embedded Application System Interface) - полная библиотека C++ методов, для управления интерфейсом периферийных устройств в любой SoC. Эта библиотека автоматически генерируется из аппаратной спецификации интерфейса и обеспечивает надежный API, с помощью которого могут разрабатываться драйверы устройств и тестовый код. Дополнительная информация : http://www.beachsolutions.com ======================================================================= 19 ноября Synplicity и Forte Design Systems впервые обеспечили 2001 полный путь проектирования и верификации от C++ до PLD ======================================================================= Теперь они взаимодействуют с Altera, чтобы оптимизировать этот C++ поток для SOPC проектов. Разработчики могут использовать Cynthsizer C++-to-HDL (от Forte) и Synplify Pro (от Synplicity), что бы синтезировать из C++ кода нет-лист для широкого круга ПЛИС, включая Excalibur от Altera. Сейчас Synplicity и Forte работают над тем, чтобы использовать Cynlib C++ как альтернативный поток проектирования SOPC. Говорит Brett Cline (Forte Design Systems): "Традиционно, системные архитекторы и разработчики аппаратного обеспечения работали на разных языках и на различных уровнях абстракции, что делало невозможным использование ценных наработок в проекте и верификации. По мере усложнения ПЛИС это становится серьезной проблемой". GigaScale (от Forte) обеспечивает быструю симуляцию С++ описания проекта и автоматический переход от C++ к HDL. Цена Synplify Pro - от $19,000. Цена GigaScale (от Forte) - от $40,800. Cynlib распространяется бесплатно по "open source" лицензии. Дополнительная информация : http://www.ForteDS.com http://www.synplicity.com http://www.altera.com 5.2. Обобщения и выводы Масштабность предпроектных исследований обязывает проводить их не с использованием HDL-языков описания аппаратного обеспечения, а на более высоком уровне абстракции, например, полагаясь на языки программирования типа C/C++. В тоже время необходимость сокращения сроков проектирования требует еще более эффективного использования результатов проектирования на архитектурном и системном уровнях - один из идеальных вариантов - генерировать HDL-описания из отлаженных высокоуровневых (С/С++/SystemC) описаний. С другой стороны - при отладке HDL-описаний системы в целях сокращения времени симуляции бывает удобно заменять работающие HDL-описания их C-эквивалентами. Очевидно , что и та, и другая задача еще только едва обозначены и ждут своего решения. 6. IP-компоненты процессоров Одним из эффективных способов решения реальных прикладных проблем сегодня является использование SoC. В то же время разработка процессора - дело трудоемкое и времязатратное. Поэтому, с одной стороны, на рынке имеется достаточно большое количество коммерчески распространяемых IP-компонент процессоров, прежде всего процессоров ARM и MIPS, а с другой стороны, многие разработчики реальных прикладных устройств ЛИЦЕНЗИРУЮТ эти IP-компоненты и используют их в своих проектах. Практически ежемесячно появляются сообщения о лицензировании той или иной версии процессора той или иной фирмой, выпуске реальных устройств на основе лицензированных IP-компонент процессоров, создании платорм проектирования на базе лицензированой IP-компоненты, разработке или адаптации средств автоматизации проектирования под данные платформы или IP-компоненты, выпуске новых, оптимимзированных версий IP-компонент. Представляется, что на рынке IP-компонент процессоров с огромным отрывом от всех остальных лидирует ARM. Значительную долю рынка занимает также и MIPS. Но и всем другим разработчикам IP-компонент процессоров тоже достается по определеному сегменту рынка. 6.1. ARM шагает по планете 6.1.1. Только факты Ввиду многочисленности сообщений и с учетом информативности заголовка приводятся только даты, названия новостей и ссылки на сайты упомянутых фирм. Сайт самой фирмы ARM приводится один раз - ниже: http://www.arm.com ============ 2001 год ============================== 1 августа Intel лицензировала ARMv6, ARM7TDMI и ARM946E-S http://www.intel.com/pressroom 1 августа Texas Instruments лицензировала ARMv6 и ARM9E Jazelle http://www.ti.com ----------------------------------------------------------------- 5 сентября ARM расширяет ARM Foundry Program добавляя в нее ARM946E и ARM1022E 12 сентября ARM усиливает поддержку автомобильной промышленности 13 сентября ARM и MathWorks GmbH сотрудничают в создании среды разработки для автомобильных систем http://www.mathworks.de http://www.mathworks.com 19 сентября Silicon Wave лицензировала процессор ARM7TDMI для интеграции в Bluetooth - устройства http://www.siliconwave.com ----------------------------------------------------------------- 3 октября Synopsis становится членом программы ATAP фирмы ARM http://www.synopsys.com 8 октября NEC Electronics анонсирует SoCLite - SOC-платформу на базе ARM7 http://www.necel.com 17 октября ARM раскрывает технические детали PrimeXsys - расширяемой платформы для беспроводных приложений. 18 октября ARM анонсирует технические детали архитектуры следующего поколения ARMv6 23 октября ARM укрепляет свое лидерство в 32-битных ядрах CPU для смарт-карт и безопасных приложений 29 октября Resonext Communications лицензировала ядро ARM922T http://www.resonext.com ----------------------------------------------------------------- 1 ноября LSI Logic выпустила 200 Мгц ядро ARM946E-S http://www.lsilogic.com/products/microprocessors/arm/index.html 5 ноября PIXIM разрабатывает платформу для цифровой обработки образов на базе процессора ARM http://www.pixim.com 19 ноября Fujitsu лицензирует ARM926EJ-S и ARM946EJ-S http://www.fujitsu.com 20 ноября SoC на базе ARM фирмы Atmel использована в DiskOnKey http://www.m-sys.com ----------------------------------------------------------------- 5 декабря Новая версия ARM Developer Suite (1.2) 13 декабря 6 новых членов программы ATAP фирмы ARM 14 декабря ARM и picoTurbo заключают патентное соглашение http://www.picoturbo.com ================ 2002 год ==================================== 7 января ARM и Verisity улучшают верификацию IP http://www.verisity.com 18 января ARM расширяет лицензионное соглашение с Faraday http://www.faraday.com.tw 23 января NSW присоединяется к ARM TAP (Technology Access Program) http://www.nsw.co.jp 30 января Toshiba лицензирует ARM926EJ-S для мобильных приложений http://www.toshiba.co.jp ----------------------------------------------------------------- 5 февраля SANYO выбрала CoWare N2C для создания первой в мире виртуальной платформы для ARM926EJ-S http://www.semic.sanyo.co.jp/index_e.htm http://www.CoWare.com 19 февраля ARM анонсирует новую платформу для разработки приложений на базе процессоров ARM9 - ARM Integrator/CP 19 февраля ARM и Superscape разработали интерактивный 3D продукт для мобильных приложений http://www.superscape.com 19 февраля Программа ARM ATAP выросла до 29 членов и более чем 2,900 инженеров 19 февраля Hantro внедряет технологию ARM MOVE в свои разработки 26 февраля ARM анонсирует RealView Developer Kit для процессоров основанных на технологии Intel XScale ----------------------------------------------------------------- 4 марта ARM и Co-Design Automation обеспечивают высоко-скоростную среду верификации встроенных систем на базе процессоров ARM http://www.co-design.com 11 марта Macronix (Taiwan) лицензировала ARM7TDMI для использования в портативных устройствах 11 марта Virtio и ARM выпускают первую виртуальную платформу для ARM Integrator (VPAI) http://www.virtio.com 12 марта Mentor Graphics выпустила полный комплект средств разработки для SOPC Excalibur фирмы Altera на базе процессора ARM http://www.mentor.com/etap 13 марта Oki Semiconductor выпускает новый МК на базе ядра ARM7TDMI http://www.okisemi.com 13 марта Trintech демонстрирует OpenPOS - платформу для одновременного исполнения множества приложений на базе Embedded Linux и ARM http://www.trintech.com http://www.lineo.com 13 марта Новый компьютер Medallion базируется на процессоре ARM и ОС Linux http://www.techsol.ca http://www.m-sys.com 13 марта Green Hills Software анонсирует - RTOS для процессоров ARM http://www.ghs.com 13 марта ARM анонсирует RealView Developer Kit для новых микроконтроллеров Philips на базе процессоров ARM 13 марта ARM анонсирует новый отладчик - RealView Multi-Core Debugger 13 марта Philips и ARM анонсируют первый 32-битный МК на базе ARM7TDMI-S, изготовленный по 0.18 мк флеш-технологии http://www.news.philips.com 13 марта Sharp Microelectronics анонсирует семейство 16/32-битных микроконтроллеров на базе процессоров ARM http://www.sharpsma.com 25 марта Технология шины ARM AMBA и AMBA Design Kit лицензированы 35 раз с момента выпуска ADK (за последние 6 месяцев) ----------------------------------------------------------------- 2 апреля Mitsubishi Electric лицензирует ядро ARM926EJ-S с технологией Jazelle (для ускорения JAVA-приложений) для своего подразделения System LSI http://www.mitsubishielectric.com 11 апреля ARM, Imagination Technologies и Superscape вместе разрабатывают 3D приложения для мобильных устройств http://www.imgtec.com http://www.superscape.com 15 апреля Goodrich лицензировала ARM http://www.goodrich.com 16 апреля LSI Logic первой создала 266 Мгц ARM926EJ-S в 0.11мк технологии http://www.lsilogic.com 22 апреля ICP Electronics лицензировала ядро ARM922T ----------------------------------------------------------------- 1 мая ARM анонсирует микроархитектуру следующего поколения - ARM11 1 мая ARM выпускает ядро ARM1026EJ-S 1 мая Результат тестирования ядра ARM1020E доступен на сайте EEMBC http://www.eembc.org 1 мая LSI Logic первая лицензировала ядро ARM1026EJ-S http://www.lsilogic.com 7 мая Broadcom лицензировала ARM7TDMI-S и ARM926EJ-S 7 мая PTSC разработала интерфейс сопроцессора IGNITE для пользователей процессоров и периферийных устройств от ARM http://www.ptsc.com 8 мая ARM и LynuxWorks создают платформу для разработки Linux- приложений http://www.lynuxworks.com http://www.armdevzone.com 13 мая ARM и Lineo анонсируют Embedix OS для PrimeXsys Wireless Platform http://www.lineo.com 15 мая Accelerated Technology продает Code|lab Developer Suite для процессоров ARM http://www.acceleratedtechnology.com 20 мая PortalPlayer лицензировала ARM7TDMI для разработки цифровых аудио-устройств 28 мая Nazomi Communications имеет претензиии к ARM за нарушение патента по технологии акселерации Java для RISC и CISC процессоров http://www.nazomi.com ----------------------------------------------------------------- 3 июня ARM увеличивает свою роль в программе Simplex IP Partners http://www.simplex.com 10 июня Magis Networks лицензировала процессорное ядро от ARM 10 июня Philips, ARM и Adelante Technologies объединяют усилия в создании общей SoC платформы для мобильных приложений распространения информации http://www.adelantetech.com http://www.semiconductors.philips.com 11 июня Seagate сотрудничает с ARM в разработке устройств управления жесткими дисками http://specials.seagate.com/cheetah 18 июня ARM расширяет семейство PrimeXsys вводя Dual Core Platform для сетевых приложений ----------------------------------------------------------------- 8 июля ARM лицензирует у SafeNet IP-компоненту Security Accelerator http://www.safenet-inc.com 9 июля LSI Logic разработала конфигурации процессоров ARM и MIPS для новой методологии FlexCore http://www.lsilogic.com 10 июля ARM лицензировала фирме SHHIC свои процессоры 10 июля Watertek стала вторым китайским дистрибьютором ARM RealView Development Tools 23 июля ARM лицензирует ARM1022E и 6 периферийных устройств из ARM PrimeCell фирме LG Electronics http://www.lge.com 24 июля ARM лицензирует свой процессор ARM7TDMI CMC для повышения эффективности Canada SOC Research Network http://www.cmc.ca 24 июля ARM лицензировала ARM7TDMI фирме MediaTek (Тайвань) 29 июля Accelerated Technology выпустила Nucleus PLUS MTD для ARM RealView Debugger http://www.acceleratedtechnology.com 29 июля ARM лицензировала ARM7TDMI фирме Flextronics Semiconductor http://www.flextronics.com/semiconductor 30 июля Atmel анонсирует второе поколение ARM-микроконтроллеров с флеш-памятью AT91FR4042 -- http://www.atmel.com/atmel/acrobat/doc2648.pdf AT91FR40162 -- http://www.atmel.com/atmel/acrobat/doc2632.pdf AT91FR4081 -- http://www.atmel.com/atmel/acrobat/doc1386.pdf AT91F40816 -- http://www.atmel.com/atmel/acrobat/doc2632.pdf AT91EB40A -- http://www.atmel.com/atmel/acrobat/doc2635.pdf 31 июля ARM и NeoMagic расширяют лицензионное соглашение http://www.neomagic.com ----------------------------------------------------------------- 1 августа Accelerated Technology анонсирует Nucleus PLUS MTD для ARM RealView Debugger http://www.acceleratedtechnology.com 1 августа ARM лицензирует ARM922 фирме Atsana для разработки высокопроизводительного процессора для беспрововодной обработки мультимедиа в рамках ARM Foundry Program http://www.atsana.com 1 августа AIEC анонсирует самый быстрый процессор AEIC9 для автомобильной промышленности на базе ARM http://www.aiec.com 14 августа Mentor Graphics добавляет в Seamless поддержку моделей процессоров ARM1020E и ARM1022E http://www.mentor.com ----------------------------------------------------------------- 9 сентября Stepmind лицензировала ARM946E для создания сетевых приложений с шифрованием данных http://www.stepmind.com 10 сентября Matsushita Electric лицензировала ARM946E-S и ARM926EJ-S для разработки беспроводных устройств http://www.panasonic.co.jp/global/top.html ----------------------------------------------------------------- 1 октября ARM лицензирует микропроцессорные ядра Тайваньскому научно-исследовательскому институту http://www.itri.org.tw 1 октября ARM и SMIC разрабатывают тестовый чип на базе процессора ARM7TDMI для Китая http://www.smics.com 2 октября Новый C/C++ компилятор от Green Hills генерирует самый компактный код для процессоров семейства ARM http://www.ghs.com 14 октября ARM анонсирует новую платформу: ARM11 PrimeXsys 14 октября ARM устанавливает стандарт производительности новыми CPU-ядрами семейства ARM11 6.1.2. Обобщения и выводы Использование лицензированных процессорных ядер от ARM в реальных коммерчески востребованных разработках - хороший способ проникнуть на рынок EDA-индустрии для начинающих компаний. Вот только несколько подтверждающих примеров: ==================================================================== 1 октября ARM и SMIC разрабатывают тестовый чип на базе 2002 процессора ARM7TDMI для Китая ==================================================================== SMIC (Semiconductor Manufacturing International Corporation) работает по технологии 0.18 мк, была основана в агусте 2002 года в Шанхае. Тестовый чип позволит местным командам разработчиков ускорить процессы проектирования. Первые образцы ожидаются к концу года. Дополнительная информация : http://www.smics.com ===================================================================== 9 сентября Stepmind лицензировала ARM946E для создания сетевых 2002 приложений с шифрованием данных ===================================================================== Ранее, в 2000 году Stepmind (основанная в июле 2000 года) лицензировала ARM PrimeCell (библиотеку периферийных устройств) и AMBA Design Kit. Stepmind также является членом ATAP (ARM Technology Access Program). Дополнительная информация : http://www.stepmind.com ==================================================================== 1 августа ARM лицензирует ARM922 фирме Atsana для разработки 2002 высокопроизводительного процессора для беспрововодной обработки мультимедиа в рамках ARM Foundry Program ==================================================================== Atsana основана в 1999 году. Штаб-квартира в Оттаве,Канада. Разработка будет использоваться при создании мобильных телефонов, PDA (personal digital assistant), цифровых камер, для поддержки мультимедиа-сообщений, интерактивных видео-взаимодействий, потоковой передачи графики и видео. Процессор от Atsana, планируемый к продаже в третьем квартале 2002 года, базируется на массово-параллельной, масштабируемой малопотребляющей архитектуре, интегрирующей тысячи процессорных элементов в массив Random Access Memory (RAM). Чип поддерживает такие стандарты обработки видео и графики как MPEG-4, JPEG, JPEG2000. ARM922T включат 32-битное RISC CPU, по 8К кеши для данных и инструкций, устройство управления памятью, интерфейсы AMBA и поддержку трассирования. ARM Foundry Program это новая бизнес-модель, позволяющая fabless-компаниям иметь доступ к изготовлению ARM-систем сертифицированными производителями. В рамках этой программы распространяются ARM7TDMI, ARM922T, ARM946E, ARM1022E. Программа начата в 2000 году. Сейчас в ней участвуют 40 компаний. =================================================================== 5 ноября PIXIM разрабатывает платформу для цифровой обработки 2001 образов на базе процессора ARM =================================================================== Для этого PIXIM лицензировала ARM9E. Выпуск первого продукта планируется на второй квартал 2002 года. PIXIM основана в 1999 году, в ней в настоящее время 35 сотрудников. PIXIM - fabless компания, специлизирующаяся на цифровой обработке образов по технологии, лицензированной в Стенфордском университете. ==================================================================== 20 мая PortalPlayer лицензировала ARM7TDMI для разработки 2002 цифровых аудио-устройств ==================================================================== Полная платформа от PortalPlayer, основанная на ядре ARM7TDMI обеспечит цифровые аудио- запись и воспроизведение. PortalPlayer (США) основана в мае 1999 года, сейчас имеет около 200 сотрудников. ==================================================================== 24 июля ARM лицензировала ARM7TDMI фирме MediaTek (Тайвань) 2002 ==================================================================== MediaTek основана в 1997, занимается разработкой CD-ROM, CD-R/RW, DVD-ROM приводов и других устройств. ==================================================================== 7 мая PTSC разработала интерфейс сопроцессора IGNITE для 2002 пользователей процессоров и периферийных устройств от ARM ==================================================================== PTSC - Patriot Scientific Corp. разработала высоко- производительный интерфейс для сопроцессора IGNITE как AHB Wrapper. В результате пользователи могут работать с существующими средствами отладки, разрабатывая код для IGNITE. При добавлении в проект процессора IGNITE, затраченные ресурсы увеличиваются на 5%, а производительность - на 20%. PTSC основана в 1997 году, занимается разработкой IP компонент. Основной успех - семейство микропроцессоров IGNITE с уникальной стековой архитектурой. Сейчас PTSC обеспечивает бесплатный пробный доступ к ядру IGNITE на своем сайте. Дополнительная информация : http://www.ptsc.com ====================================================================== 22 апреля ICP Electronics лицензировала ядро ARM922T 2002 ====================================================================== ICP Electronics основана в 1997 году, заработала репутацию успешного "system design house". ==================================================================== 13 марта Oki Semiconductor выпускает новый МК на базе ядра ARM7TDMI 2002 ==================================================================== ML674000 - 32-битный МК на базе ядра ARM7TDMI, содержит также: - контроллер прерываний с 24 прерываниями - два канала DMA - 16-битные системный таймер и счетчик-таймер - 2*16 PWM - 1*16 WDT - 2 канала UART - 2*16 бит GPIO - 8x10-бит ADC - AMBA тест контроллер - частота - до 33 Мгц - рабочий диапазон температур: от -40 до +85 oC Цена ML674000 - $4.95 в партиях по 10,000 штук. Oki Semiconductor основана в 1997 году Дополнительная информация : http://www.okisemi.com =================================================================== 19 сентября Silicon Wave лицензировала процессор ARM7TDMI для 2001 интеграции в Bluetooth - устройства =================================================================== Silicon Wave основана в 1997 году, проектирует и производит RF-коммуникационные системы. 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных MIPS Technologies начала разрабатывать свою 64-битную архитектуру более 10 лет назад, базируясь на идеях одного из своих основателей - John Hennessy (ныне президент Stanford University). Сейчас MIPS - единственная компания, которая открыто лицензирует 64-битную архитектуру и лицензирует 64-битные процессоры, базирующиеся на этой архитектуре. Более чем дюжина ведущих компаний, включая NEC, Toshiba, Broadcom, PMC-Sierra, IDT и LSI Logic успешно внедрили эту технологию в свои разработки, в том числе микропроцессор для Nintendo, 64 и 128- битный процессор Emotion Engine для Sony PlayStation 2. Рост 64-битной обработки подогревается распространением потокового аудио и высококачественного видео, криптографическими потребностями для электронной коммерции, конвергенцией вычислений, коммуникаций, мультимедиа и криптографии в специальных устройствах. Архитектура MIPS обладает редкой возможностью исполнения на 64-битных процессорах 32-битных приложений без перекомпиляции. Сайт MIPS Technologies - http://www.mips.com 6.2.1. Только факты ------------------------ 2001 год ------------------------------ 4 сентября MIPS Technologies и Intrinsix создают службу сервиса проектирования на базе процессоров MIPS 18 октября Eureka Technology присоединилась к MIPS Alliance Program http://www.eurekatech.com 18 октября SandCraft выпустила самый производительный MIPS CPU http://www.sandcraft.com 5 ноября MIPS Technologies и Green Hills Software предлагают "Best-in-Class" среду разработки приложений http://www.ghs.com 12 ноября Wintegra лицензировала 64-битное MIPS ядро http://www.wintegra.com 19 ноября Toshiba выпустила новый 64-битный встроенный микропроцессор на базе ядра MIPS-64 http://www.chips.toshiba.com 18 декабря Texas Instruments лицензировала 32-битное ядро CPU от MIPS Technologies (MIPS32 4KEc) http://www.ti.com ----------------------- 2002 год ------------------------------------- 2 января MIPS Technologies и Lexra пришли к соглашению http://www.lexra.com 7 января MIPS Technologies и IN2FAB Technology объединяют усилия http://www.in2fab.com 17 января MIPS Technologies расширяет свое присутствие в Азиатско- Тихоокеанском регионе 11 февраля SandCraft анонсирует выпуск самого производительного MIPS64 микропроцессора SR 71000 с частотой 600 МГц http://www.sandcraft.com 19 февраля Toshiba и MIPS Technologies вместе будут разрабатывать RISC микропроцессоры нового поколения http://www.toshiba.co.jp 1 мая MIPS Technologies выпустила новое 32-битное синтезируемое CPU-ядро MIPS32 M4K для использования в мультипроцессорных SoC-системах 1 мая Fulcrum Microsystems лицензирует 32-битную архитектуру от MIPS Technologies для разработки асинхронного процессора http://www.fulcrummicro.com 2 мая LSI Logic первой выпустила CPU 333 Мгц MIPS64 5kf по Gflx технологии 0.11 мк http://www.lsilogic.com 15 мая MIPS Technologies и CoWare разрабатывают средства системного проектирования высокопроизводительных SoC http://www.CoWare.com 10 июля MIPS Technologies лицензировала 64-битное процессорное ядро MIPS64 5Kf фирме Marvell 15 июля Accelerated Technology выпустила code/lab Embedded Developer Suite для процессоров семейства MIPS http://www.acceleratedtechnology.com 18 июля MIPS Technologies приобрела Algorithmics(UK) - ведущую компанию по разработке средств GNU-компиляции 22 июля MIPS Technologies лицензирует ядро MIP32 4KEc фирме Proxim для использования в высокоскоростных беспроводных сетях http://www.proxim.com/newproxim 5 августа NEC использовала CPU-ядра от MIPS Technologies при разработке своих SoC систем для Set-Tops и DTV 9 августа MIPS Technologies лицензировала MIPS32 4KE фирме Zoran для разработки электронных продуктов следующих поколений http://www.zoran.com 12 августа SandCraft анонсирует 800 Мгц MIPS64 процессор SR71010B изготовленный на UMC по технологии 0.13 мк. http://www.sandcraft.com 20 сентября Новый 64-битный микропроцессор с MIPS-архитектурой от Toshiba имеет встроенное устройство исполнения стандартного алгоритма шифрации DES http://www.taec.toshiba.com 22 октября MIPS Technologies лицензирует 32-битное ядро фирме Micronas GmbH для разработки устройств цифрового телевидения http://www.micronas.com 6.2.2. Обобщения и выводы IP-компоненты MIPS также могут использоваться начинающими (Start-Up) компаниями, обычно в области 64-битных приложений. Вот несколько примеров: =================================================================== 12 ноября Wintegra лицензировала 64-битное MIPS ядро 2001 =================================================================== Wintegra намерена разрабатывать сетевой процессор WinPath для конвергенции голоса и данных в различных устройствах. Предлагаемая технология объединяет лучшие свойства коммуникационного и сетевого процессора. Wintegra, основанная в январе 2000 года, это "fabless"-компания. Первая продукция ожидается во втором квартале 2002 года. Дополнительная информация : http://www.wintegra.com ==================================================================== 1 мая Fulcrum Microsystems лицензирует 32-битную архитектуру от 2002 MIPS Technologies для разработки асинхронного процессора ==================================================================== Fulcrum Microsystems - пионер в области разработки асинхроных чипов - это основанная в январе 2000 года "fabless" компания, которая использует свою запатентованную методологию проектирования асинхроных SoC. Предполагается значительное сокращение потребления энергии, повыщение производительности и уменьшение времени выхода на рынок по сравнению с традиционными методами проектирования синхронных чипов. Компания ожидает выпуск первых продуктов в первой попловине 2003 года. Дополнительная информация : http://www.fulcrummicro.com http://www.mips.com ===================================================================== 11 февраля SandCraft анонсирует выпуск самого производительного 2002 MIPS64 микропроцессора SR 71000 с частотой 600 МГц ===================================================================== SR71000 выполнен по технологии 0.15 mM. Уже планируется переход на технологию 0.13 mM и частоту 800 МГц SR710101tk1 - среда разработки программ для этих процессоров, включая С-компиляторы, линкеры и загрузчики. Ее цена - $4999.00. Планируется выпуск Linux OS для этих процессоров в первом квартале 2002 года. SandCraft основана в июне 1996 года для разработки продвинутых суперскалярных микропроцессоров, основанных на MIPS архитектуре. Дополнительная информация : http://www.sandcraft.com 6.3. И другие процессорные ядра 6.3.1. Только факты ------------------- 2001 год --------------------------------- =================================================================== 11 сентября Новые 11 партнеров программы DesignerNet от picoTurbo =================================================================== Это: Ahura Technology, Comit Systems, DCM Technologies, EPI, iWave Systems, MindTree Consulting, Monterey Design Systems, QThink, Trinc, VirageLogic и Viscid Design Technologies. Теперь в программе участвуют 20 партнеров, каждый из которых играет ключевые роли в соответствующей области разработки SOC: -- Foundries Hynix (бывшая Hyundai), TSMC, UMC -- ASIC Chip Express, eSilicon -- Design services Comit, DCM, Goya, Qthink, Viscid -- IP providers Fujitsu Siemens Computers, NewLogic -- EDA/physical libraries Artisan, Monterey Design, Virage Logic -- Software/hardware/systems Ahura, EPI, iWave, MindTree, TRINC picoTurbo объявила программу DesignerNet в 2000 году. Партнеры по программе DesignerNet имеют ранний доступ к высокопроизводительным синтезируемым компонентам и сервисам picoTurbo. picoTurbo, Inc. - ведущий поставщик IP компонент 16/32 битных RISC-процессоров pT-100, pT-110, pT-120. Дополнительная информация : http://www.picoturbo.com =================================================================== 18 сентября JVC лицензировала процессор Xtensa (Tensilica) для цифрового видео камкодера =================================================================== JVC была основана в 1927 году - и сейчас остается одним из лидеров на рынке потребительской электроники. Tensilica основана в 1997 году - один из лидеров рынка конфигурируемых процессоров. Дополнительная информация : http://www.jvc.co.jp http://www.tensilica.com =================================================================== 4 октября Шесть ведущих разработчиков PDA выбрали процессор Intel StrongARM для следующих поколений своих продуктов =================================================================== Compaq Corporation, Hewlett-Packard Company и Symbol Technologies продолжат свои существующие отношения с Intel. Casio Computer Co., Ltd., NEC Corporation, и Toshiba Corporation впервые выбрали процессоры Intel StrongARM. Все шесть объявили также о своем намерении использовать память Intel StrataFlash для хранения и приложений, и операционных систем в своих новых продуктах. Такое решение обеспечивает баланс производительности и энергозатрат, приемлемый для просмотра образов, видео и аудио- записи и воспроизведения, распознавания речи и рукописного текста, а также для бизнес-приложений, таких как управление персональной информацией, текстовые процессоры и электронные таблицы. Дополнительная информация : http://www.intel.com/pressroom =================================================================== 18 октября Lexra анонсирует LX5380 - первое ядро для проектов требующих и DSP и RISC одновременно =================================================================== Lexra - ведущий разработчик микропроцессоров, специализирующийся на 32-битных RISC, RISC-DSP и NPU ядрах для рынка встроенных систем. Менее чем за 4 года Lexra выпустила семь различных процессорных архитектур, продала более 30 лицензий на них в шести странах. Новая разработка Lexra - CPU LX5380. Способный работать на частоте до 420 Мгц (при изготовлении по технологии 0.13 мк), LX5380 - это самое быстрый RISC-DSP процессор. Он выполняет MIPS инструкции, с Radiax 2 DSP расширением. В LX5380 встроено также устройство управления памятью, эффективно поддерживающее такие ОС как Windows CE, Linux, VxWorks, OSE and EPOC. LX5380 имеет 7-стадийный конвейер. Особенностью LX5380 является BMC (Block Move Controller) и соответствующая инструкция перемещания блоков, которая может перемещать данные параллельно с обработкой других данных на DSP. К набору DSP-инструкций Radiax добавлено 20 новых инструкций в результате опыта, полученного в течение эксплуатации Radiax DSP в течение последних двух лет. Как результат, Radiax-2 более производительно обрабатывает поля пакетов и аудио-информацию. Первая продажа LX5380 намечена на март 2002 года. Лицензия для одного проекта для RTL стоит $535,000 плюс $1.10 за чип при изготовлении партий количеством до 100K. Дополнительная информация : http://www.lexra.com =================================================================== 1 ноября Sun Microsystems выбрала масштабируемые ARC-процессоры =================================================================== Серверы Sun требуют гибкой и открытой архитектуры - такой, какую обеспечивают ARC- процессоры. ARC обеспечивает мультипроцессорную отладку и полный набор средств разработки. Технология настройки ARC-процессора на нужды пользователя идеально подходит для сетевой обработки. Более 30 компаний разработали или разрабатывают сетевые устройства. Основное достоинство ARCtangent - это простота, с которой пользователи могут разрабатывать мультипроцессорные архитектуры для повышения производительности. ARC International - ведущий разработчик настраиваемых пользователем 32-битных процессорных ядер, средств разработки, внутрикристальных периферийных устройств и других IP-компонент. Среди 58 пользователей ARC-ядер такие фирмы как Conexant Systems, Cypress Semiconductor, Fujitsu Microelectronics, IBM, Infineon Technologies, QLogic, SanDisk и Sun Microsystems. MetaWare Inc., Precise Software Technologies Inc., и VAutomation Inc. - это подразделения ARC International, которые занимаются созданием средств разработки программного и аппаратного обеспечения, IP-компонент и RTOS для интегрированной разработки SoC. Список партнеров ARC включает Cadence, Flextronics, Intrinsix, Synopsys, TSMC, UMC, Wind River и Xilinx. Дополнительная информация : http://www.arccores.com ================================================================== 3 декабря Siroyan оптимизирует свои OneDSP ядра под микропотребление ================================================================== Архитектура OneDSP объявлена Siroyan в октябре 2001 года. Она объединяет в себе масштабируемые, высокопроизводительные DSP вычисления и RISC-управление. В одном ядре OneDSP может быть использовано до 32 исполняющих кластеров. Первый член семества синтезируемых ядер OneDSP имеет название SRA328. Дополнительная информация : http://www.siroyan.com --------------------- 2002 год -------------------------------- ======================================================================= 2 января Tower Semiconductor лицензировала Teak DSP фирмы DSP Group ======================================================================= - для разработки устройств сотовой телефонии, мультимедиа, цифровых камер, аудио- и видео- приложений. Teak DSP - это представитель четвертого поколения CPU семейства SmartCores, 16-битный, с дуальной MAC(умножение-сложение) архитектурой, с пиковой производительностью до 420 MIPS. Имеется возможность параллельного исполнения инструкций, производительная обработка прерываний и быстрое переключение контекстов, обеспечивается расширенное адресное пространство программ. Teak характеризуется компактностью кода, эффективным использованием памяти программ, специальными механизмами сокращения потребления энергии. Teak DSP совместим по системе команд с Oak DSP и Teak Lite. Дополнительная информация : http://www.dspg.com http://www.towersemi.com ==================================================================== 5 марта CAST выпускает 80186-совместимое процессорное ядро ==================================================================== CAST объявила, что ее семейство IP-компонент пополнилось IP- компонентой для C80186TX. Она полностью программно совместима с Intel 80C186, однако работает в 6 раз быстрее. Ядро включает также большой набор внутрикристальных периферийных устройств в том числе: четыре DMA канала, таймеры, контроллер программируемых прерываний, устройство управления регенерацией памяти, программируемый DRAM контроллер, асинхронные последовательные порты и др. Ядро с186 разработано партнером CAST - Evatronix S.A. и поставляется в виде HDL-текста, готового к синтезу в ASIC или оптимизированного для выбранной FPGA. Цена варьируется в зависимости от региона. ==================================================================== 5 марта DSP ядро ZSP400 фирмы LSI Logic получило высшие оценки при тестировании EEMBC ==================================================================== ZSP400 - это 16-битный суперскалярный dual-MAC DSP процессор, показывающий производительность сравнимую с 32-битными процессорами при лучшей плотности кода, что приводит к снижению стоимости системы, выпускаемой массово. ZSP процессорные ядра лицензируемые и полностью синтезируемые. В настоящее время разрабатывается архитектура следующего поколения ZSP600, оптимизированная под плотность кода, производительность компилятора и простоту программирования. Дополнительная информация : http://www.zsp.com http://www.lsilogic.com http://www.eembc.org ====================================================================== 15 апреля Mentor Graphics рекламирует "Soft-ядро" Nios от Altera ====================================================================== Nios - 16 битный процессор с конфигурируемой 16- или 32- битной шиной данных, который может быть использован в Excalibur - SOC от Altera. Дополнительная информация : http://www.mentorug.org/lugs/svlug/conferences/2002/ http://www.altera.com ====================================================================== 24 апреля UMC первой предлагает SuperH - микропроцессорное ядро ====================================================================== SuperH - независимый разработчик IP компонент, который разрабатывает и лицензирует 32-битные и 64-битные RISC-процессоры. SuperH основана в 2001 году по взаимному соглашению STMicroelectronics и Hitachi. SuperH SH-4 - 32битный процессор, работающий на частоте 200 Мгц, изготовлен по технологии 130Нм. Ранее спроектирован SuperH SH-5, ведутся разработки SH-6 и SH-7. Дополнительная информация : http://www.umc.com ==================================================================== 1 мая Xilinx претендует на лидерство в процессорах для FPGA ==================================================================== Xilinx выпустила 8-битное ядро PicoBlaze, а также увеличила производительность 32-битного MicroBlaze - до 150 МГц и 100 Dhrystone MIPS при реализации в Virtex II Pro FPGA. Занимая всего 900 логических ячеек, MicroBlaze является самым маленьким и самым быстрым 32-битным процессором на рынке сегодня. Пользователи Xilinx могут использовать в своих проектах процессоры от 8-битного PicoBlaze до 32-битного 450 D-MIPS PowerPC. В настоящее время 9,480 пользователей используют процессоры в Xilinx FPGA. PicoBlaze занимает всего 154 ячейки и работает на частоте 116 Мгц. Ядро легко встраиваемое , допускает возможность организации мультипроцессорных систем. Использование свойств MicroBlaze совместно с встроенными блоками Virtex II Pro (умножители 18*18, блоки памяти RAM) позволяет достичь производительности 100 D-MIPS. Поддержка разработки программного обеспечения для MicroBlaze обеспечивается с помощью GNU инструментов и ISE 4.21. Дополнительная информация : http://www.xilinx.com/ipcenter/processor_central ==================================================================== 28 августа LSI Logic выпускает новый DSP - LSI403LP ==================================================================== LSI403LP доступен во всех трех формах - стандартный продукт, ядро ASIC, лицензируемая IP. LSI403LP - 16-битный DSP с фиксированной точкой, имеет на кристалле 48К RAM для инструкций/данных, функционирует на частоте 150 Мгц и показывает максимальную производительность 600 MIPS. Дополнительная информация : http://www.zsp.com/lsi403lp.html http://www.zsp.com http://www.lsilogic.com ==================================================================== 1 октября Altera упрочняет лидерство на рынке процессоров встроенных в FPGA: Nios в Cyclone ==================================================================== Самый дешевый представитель семейства Cyclone стоит $4, 32-битный микропроцессор Nios занимает в нем всего 1,400 логических элементов и обеспечивает производительность 50 Dhrystone MIPS. Поскольку в этом чипе занято менее половины логических ячеек можно считать, что цена Nios менее $2, что существено меньше цены любого другого процессора, способного обеспечить такую же производительность. На одном чипе семейства Cyclone можно построиь мультипроцессорную систему на базе ядер Nios, обеспечивая еще более высокую производительность - используя SOPC Builder. Рынок таких систем включает: коммуниккации, периферийные устройства, автомобильные и промышленные приложения, роутеры и свичи, контроллеры моторов ... Altera's Nios Development Kit можно купить по цене $995. Дополнительная информация : http://buy.altera.com http://www.altera.com/cyclone http://www.altera.com/nios ==================================================================== 1 октября Altera анонсирует комплект "Embedded Processor Portfolio" свободно распространяемых встроенных процессорных компонент (более 100 штук) ==================================================================== Этот комплект ориентирован на новое дешевое семейство Cyclone фирмы Altera. Таким образом, в больших партиях 32-битный процессор Nios в Cyclone оказывается дешевле большинства 32-битных микропроцессоров продаваемых сегодня. Embedded Processor Portfolio основывается на использовании ядра Nios и средств разработки от Red Hat: GNUPRO Toolkit. Embedded Processor Portfolio CD-ROM включает также бесплатно: веб-версию Quartus II, SOPC Builder, и OpenCore Plus оценочную версию Nios. Можно бесплатно заказать этот CD ROM по адресу: http://www.altera.com/processorportfolio Семейство Cyclone имеет плотность от 2,910 до 20,060 логических элементов, до 288 кбит встроенной памяти, Дополнительная информация : http://www.altera.com/cyclone http://www.altera.com/products/devices/excalibur/exc-index 6.3.2. Обобщения и выводы Разнообразие IP-компонент процессорных ядер, подчеркивает направления, в которых еще ведутся только поисковые разработки, и нет доминирующих лидеров, либо эти IP-компоненты пока не так востребованы как RISC-ядра ARM и MIPS. Конфигурируемые процессоры : Tensilica, Arc. DSP-процессоры : LX380 (Lexra), OneDSP (Siroyan), TeakDSP (DSP Group), ZSP400 и LSI403LP (LSI Logic). RISC-процессоры : PicoTurbo, Intel StrongARM, 80186 (CAST), Nios (Altera), MicroBlaze и PicoBlaze (Xilinx). Понятно, что всем этим процессорам необходимы среды разработки прикладного программного обеспечения, а также среды совместной разработки программного и аппаратного обеспечения. Идеальный вариант - когда среда разработки может настраиваться на целевую архитектуру. 6.4. Сетевые процессоры 6.4.1. Только факты ==================================================================== 1 мая Новый сетевой процессор C-3e NP фирмы Motorola 2002 ==================================================================== C-3e NP - это новый член семейства сетевых процессоров C-Port, обеспечивающий производительность до 3 Гбит/сек. Параллельно выпущен Q-3 - сопроцессор управления трафиком (IP и ATM). C-3e NP - программируемый процессор с производительностью 3000 MIPS. В него входят 8 канальных процессоров, 8 обслуживающих процессоров (которые используются параллельно для выполнения таких функций как SAR, управление трафиком, обработка протоколов), а также встроенные сопроцессоры для ускорения классификации, управления буферами и трафиком. Поддерживаются протоколы: AAL-1, AAL-2, AAL-2 SSSAR, AAL-5 SAR, ATM TM 4.1, IMA, IPv4, IPv6, UDP, RTP, GTP, Header Compression, L4 Port Classification, VoIP, ICMP, PPP (Multi-Class, Multi-Link, PPP-MUX), IP DiffServ, M PLS, PoS, Frame Relay, HDLC. C-3e нужно использовать там, где приложения слишком малы для C-5e NP, но слишком велики для PowerQUICC II. Поставки C-3e ожидаются с 4-го квартала 2002 года по цене $225 за штуку в партиях по 10K. Дополнительная информация : http://www.motorola.com ==================================================================== 7 мая Fast-Chip анонсирует следующее поколение пакетных процессоров 2002 PolicyEdge ==================================================================== PolicyEdge был первый в промышленности 10 Гигабитный пакетный процессор, продается с сентября 2001 года. Второе поколение процессора - PolicyEdge OC-192 FC6402 и OC-48 FC6302 работает в два раза быстрее, выполняя до 1.3 миллиарда операций над пакетами и 187 миллионов сервисных операций в секунду. Все процессоры PolicyEdge выполняют иерархическую мульти-путевую классификацию, редактирование, пересылку пакетов и сбор статистики с минимальной задержкой. Предполагается продажа с августа 2002 года по ценам: OC-48 FC6302 - $250, OC-192 FC6402 - $350 в партиях по 5,000 штук. Fast-Chip - это fabless компания, разрабатывающая и распространяющая сетевые IP компоненты. Дополнительная информация : http://www.fast-chip.com ==================================================================== 30 мая Новые платформы от Intel для разработки сетевого процессора 2002 сокращают время параллельного проектирования программного и аппаратного обеспечения ==================================================================== Intel Hardware Developers Kit 1.0 обеспечивает детальной информацией о системной архитектуре, физических спецификациях и схеме. Intel Internet Exchange Architecture Software Developers Kit (SDK) v3.0. - это полный набор средств включая графический симулятор. Сетевой процессор IXP2800 предназначен для использования в высокоскоростных свичах и маршрутизаторах. Сетевой процессор IXP2400 был разработан для использования в мультисервисных ключах. Дополнительная информация : http://www.intel.com/pressroom ==================================================================== 2 октября Zarlink выпускает семейство процессоров обработки 2002 сетевых пакетов (MT90880/1/2/3) ==================================================================== MT90880/1/2/3 поддерживает 32 порта T1/E1, работает в 16 раз быстрее (125 микросекунд на обработку пакета вместо 2 миллисекунд) и стоит в два раза дешевле аналогов, использующих микропроцессоры и соответствующее программное обеспечение. Все чипы семейства совместимы по контактам. В партиях по 1000 штук цены таковы: MT90880 - $209, MT90881 - $165, MT90882 - US$156, MT90883 - $135. Чипы поддерживаются отладочными платами, специальным API, прикладным программным обеспечением и документацией. Дополнительная информация : http://products.zarlink.com/profiles/MT90880 http://www.zarlink.com ==================================================================== 22 октября SiberCore выпускает первый "Plug and Play" сопроцессор для Intel IXP2400 Development Platform ==================================================================== SCTB2409-B TCAM модульная карта, SDK (software development kit) и специальное программное обеспечение упрощают разработку таких устройтв как маршрутизаторы и свичи. Модульная карта SCTB2409-B включает сопроцессор SiberCAM Ultra-9M, обеспечивающий 9 Мб TCAM (ternary content addressable memory) и 32 Мб SRAM для классификации и перенаправления. SDK включает поцикловую FOM (Foreign Object Model) для Microengine Development Environment из IXA SDK 3.0 (Intel Internet Exchange Architecture Software Development Kit), а также специальное ПО для Intel Xscale. Модульная карта SCTB2409-B вставляется непосредственно в Intel IXP2400 через интерфейс QDR SRAM/Network Processing Forum LA-1. SiberCore FOM обеспечивает С-модель TCAM, которая симулирует все внутренние регистры и инструкции, обеспечивая гибкое управление симуляцией, позволяя вести отладку и измерять производительность. FOM поставляется как параметризованная DLL-библиотека для Windows 2000. API (application programming interface), разработанный SiberCore, обеспечивает инициализацию, управление, поиск и другие функции для сетевого процессора Intel IXP2400. Значительная часть ПО написана на его ассемблере и обеспечивает анализ, отладку, полное мноожество макросов для поиска и поддержки процесса обработки пакетов. Сопроцессор от SiberCore сокращает обработку IPv4 на 60%. SiberCore выпускает TCAM устройства видов 2M, 9M, 18M и планирует выпускать 36M. Достоинствами устройств являются: трех-портовый интерфейс, поддержка динамического изменения длины слов, низкое потребление энергии и высокая производительность. Цена модульной карты SiberCore SCTB2409-B TCAM - $2400. SiberCore Technologies (Канада, Оттава) - это fabless-компания, специализирующаяся в области разработки устройств обработки пакетов. Дополнительная информация : http://www.sibercore.com 6.4.2. Обобщения и выводы Обработка сетевых пакетов чрезвычайно востребованная область, равно как и чрезвычано перспективная для внедрения как новых IP- компонент, так и новых технологий автоматизации проектирования ввиду масштабности проектов, высоких требований к производительности, существенности объема перерабатываемой информации. 7. В мире ПЛИС 7.1. ПЛИС расширяют сферу применения 7.1.1. Только факты -------------------- 2001 год ------------------------------------- =================================================================== 12 ноября Lattice выпускает 2-ое поколение архитектуры SuperBIG CPLD для интеграции систем =================================================================== ispMACH 5000VG содержит 1024 макроячейки и может иметь от 196 до 384 конфигурируемых контактов. Средства разработки ispLEVER 1.0 включают средства синтеза от Exemplar и Synplicity. Цена ispMACH 51024VG - $50 в массовых партиях с середины 2002 года. Дополнительная информация : http://www.latticesemi.com ================================================================== 3 декабря Lattice выпустила 3-е поколение супербыстрых CPLD ================================================================== ispMACH4256 имеет 256 макроячеек. ispMACH4512 имеет 512 макроячеек. Обе работают с питанием 2.5В и 1.8В. Количество контактов ввода-вывода может быть в диапазоне от 30 до 208. Такие чипы удобно использовать для проектирования простых контроллеров (на базе автоматов состояний, например). ispMACH4256 обеспечивает задержки 3 нс - pin-to-pin delay 3 нс - clock-to-output delay 2 нс - set-up time ispMACH4256 функционирует на частоте 300 Мгц, что на 40% быстрее чем у любого из конкурентов. ispMACH4512 обеспечивает задержки 3.5 нс - pin-to-pin delay 3.5 нс - clock-to-output delay 2.4 нс - set-up time ispMACH4512 функционирует на частоте 256 Мгц, что на 36% быстрее чем у любого из конкурентов. Кроме того, чип ispMACH 4256 потребляет на 78% меньше энергии, работая на частоте 100 Мгц, чем коммерчески доступные аналоги. Программирование чипов ispMACH полностью совместимо со стандартами IEEE 1532, IEEE 1149.1 (JTAG boundary scan interface). Предполагается, что во второй половине 2002 года ispMACH4256 будет поставляться по цене $6.5, а ispMACH4256 - от $15. Дополнительная информация : http://www.latticesemi.com ---------------------- 2002 год --------------------------------- ======================================================================= 7 января Lattice выпускает вторую CPLD ispMACH 5000VG SuperBIG ======================================================================= ispMACH 5768VG содержит 768 высокопроизводительных логических макроячеек. Это самый маленький член семейства ispMACH 5000VG. Это программируемое внутри системы семейство чипов удваивает логическую емкость семейства ispLSI 5000VE, и включает новые черты, такие как улучшенные стандарты ввода/вывода (GTL+, HSTL, SSTL), поддержку sysIO и sysCLOCK PLLs (Phase Locked Loops). Производительность для isp5768VG указывается как 5 нс "pin-to-pin delay", с рабочей частотой 178 Мгц. Количество внешних контактов может быть от 196 до 384. ispMACH 5000G поддерживаются новым средством разработки от Lattice - ispLEVER Version 1.0. Цена на ispMACH 5768VG - $33 за штуку в больших партиях. Поставка начнет осуществляться со второй половины 2002 года. Дополнительная информация : http://www.latticesemi.com ======================================================================= 7 января Actel преодолевает барьер 1 млн вентилей для Flash FPGA ======================================================================= Микросхемы ProASIC Plus имеют емкость от 150 тысяч до 1 миллиона вентилей при частоте до 100 Мгц. Внутрисистемная программируемость поддерживается по интерфейсу IEEE 1149.1 JTAG. Программная поддержка новых микросхем обеспечена собственными средствами фирмы Actel, а также системами от Cadence, Exemplar, Model Technology, Synopsys и Synplicity. Дополнительные достоинства ProASIC Plus: - они являются чипами, программируемымми пользователями, с мульти- битными ключами, которые блокируют внешние попытки прочитать или изменить конфигурационные установки. Первые образцы семейства ProASIC Plus - APA750 и APA1000, которые предлагают 750 тысяч и 1 миллион системных вентилей соответственно, сейчас доступны как инженерные образцы, и начнут продаваться со второго квартала 2002 года. Цена на APA750 и APA1000 - $199 и $399 за штуку соответственно. Дополнительная информация : http://www.actel.com ======================================================================= 14 января Xilinx выпустила CPLD CoolRunner-II ======================================================================= CoolRunner-II обеспечивает эффективный компромисс между низким энергопотреблением и высокой производительностью. Плотность чипов семейства CoolRunner-II варьируется от 32 до 512 ячеек. Производительность достигает 300 Мгц, а задержка "pin-to-pin" не превышает 3.5 нс, ток потребления - менее 100 микроампер - впервые в промышленности. В связи с большим количеством приложений на рынке электроники, сегодня как никогда ранее важной становится секретность проектов. Семейстов CoolRunner-II обеспечивает 4 уровня секретности. Семейство CoolRunner-II полностью поддерживается бесплатными средствами разработки ISE WebPack (для проектирования) и WebFITTER (для проверки качества работы в реальной CPLD) - посредством Internet. Цена $1,90 в партиях более 100,000. Дополнительная информация : http://www.xilinx.com/coolrunner2 ======================================================================= 14 января Xilinx ожидает расширения своего рынка, выпуская новые чипы CoolRunner-II CPLD с низким потреблением энергии ======================================================================= Лидируя на рынке FPGA, Xilinx приобрела Philips XPLA в июне 1999 года и с тех пор удвоила свое присутствие на рынке CPLD. Дополнительная информация : http://www.xilinx.com ==================================================================== 8 августа Actel улучшает семейство ProASIC: плотность размещения, производительность и безопасность ==================================================================== APA 075 - имеет плотность 75,000 вентилей, 20% повышение производительности и FlashLock. FPGA Actel ProASIC программируются пользоватлем с использованием ключа длиной от 79 до 263 бит, который блокирует внешние попытки прочитать или изменить конфигурацию FPGA, защищая интеллектуальную собственность разработчиков. Предполагаемая цена - меньше $8 в партии 100К. Дополнительная информация : http://www.actel.com ==================================================================== 12 августа Xilinx выпускает "самую-самую" FPGA - Xilinx XCV28000 ==================================================================== XCV28000 из семейства Virtex II - 8 миллионов вентилей, свыше 400 Мгц. Это на 73% больше чем, любая другая FPGA на рынке. Xilinx ISE 4.2i вместе с Xilinx ChipScope поддерживают разработку и отладку систем на базе этих FPGA. Цена на Xilinx XCV28000 - $3960 в партиях от 10К. Дополнительная информация : http://www.xilinx.com ===================================================================== 23 сентября Altera выпускает Cyclone - самая дешевая FPGA ===================================================================== Цена - $1.50 за 1000 логических элементов - в два раза ниже самых дешевых конкурентов. Одна микросхема Cyclone может содержать несколько процессоров Nios. 32-битный Nios с периферийными устройствами может занимать менее 1,400 логических элементов и обеспечивать производительность 50 Dhrystone MIPS. Члены семейства Cyclone могут содержать от 2,910 до 20,060 логических элементов (240,000 логических вентилей или более 1 миллиона системных вентилей) и до 288 Кбит RAM. Все члены семейства будут выпускаться в первой половине 2003 года. Цены: Device Price (1) Price (2) EP1C3 $7.00 $4.00 EP1C6 $17.00 $8.95 EP1C12 $35.00 $25.00 EP1C20 $60.00 $40.00 (1) Цены в партиях 50K в 2003 году (2) Цены в партиях 250K в 2004 году Дополнительная информация : http://www.altera.com/cyclone1 ==================================================================== 2 октября Семейство FPGA Cyclone фирмы Altera работает в промышленном диапазоне температур: от -40 C до +85 C ==================================================================== Кроме того, предполагается выпуск специальных членов семейства, способных работать в расширенном диапазоне температур для военного и космического применения, а также для высокотемпературных автомобильных приложений. Массовый выпуск промышленных членов семейства назначен на первую половину 2003 года. Дополнительная информация : http://www.altera.com/cyclone ==================================================================== 7 октября Actel выпускает FPGA семейства SX-A для военных приложений ==================================================================== Чипы A54SX32A и A54SX72A могут содержать до 76,000 логических вентилей, обеспечивать производительность до 250 МГц с гарантированной поддержкой шины 33 Мгц PCI в температурном диапазоне от -55 до +125 градусов по Цельсию. Для ускорения проектирования можно использовать библиотеки IP компонент CorePCI и Core1553BRT. Процесс проектирования поддерживается средой разработки Libero. Для повышения безопасности чипов серии SX-A предприняты специальные меры, в частности отсутствует загрузочное ПЗУ, поток данных с которого может быть перехвачен для расшифровки. Дополнительная информация : http://www.actel.com/products/security ==================================================================== 7 октября Модульный массив ASIC на частоте 700 Мгц меньше чем за 3 месяца - новая технология от Lightspeed - Luminance ==================================================================== Luminance (0.13 мк) внедрена на TSMC (Taiwan Semiconductor Manufacturing Company Ltd), включает модульную архитектуру, стандартные средства разработки от третьих фирм, IP-компоненты от третьих фирм. Разработка ASIC по традиционным технологиям требует до 18 месяцев и значительные невозвращаемые затраты (NRE). Именно для решения этих проблем и разработана технология Luminance. Технология Luminance обеспечивает создание чипов с емкостью до 10 миллионов ASIC вентилей и до 5 миллионов битов встроенной двухпортовой RAM, имеются также конфигурируемые ячейки ввода-вывода. Обеспечивается встроенное автотестирование и аппаратная поддержка отладки. Комплеты разработчиков снабжаются стандартными синтезаторами от Synopsys, Synplicity, Cadence и Mentor Graphics. Имеются библиотеки синтезируемых IP компонент, описанных на языках VHDL и Verilog. Lightspeed Semiconductor основана в 1996 году. Дополнительная информация : http://www.lightspeed.com ==================================================================== 21 октября Xilinx пополняет линейку чипов для автомобильной промышленности двумя новыми семействами ==================================================================== IQ Solutions line - объявленная ранее серия устройств для использования в управлении автомобилем - доступна на Spartan-II FPGA - самом дешевом из семейств чипов Xilinx и CoolRunner CPLD - самом низкопотребляющем энергию. Spartan-II FPGA позволяет использовать 32-битный процессор MicroBlaze, работающий на частоте 65 Мгц и обеспечивающий 43 DMIPS. Дополнительная информация : http://www.xilinx.com/automotive 7.1.2. Обобщения и выводы Развитие ПЛИС традиционно происходит в следующих направлениях: - повышение производительности (до 300 Мгц CPLD Lattice ispMACH, до 400 Мгц FPGA Xilinx Virtex II) - рост емкости (до 8 млн вентилей FPGA Xilinx Virtex II, до 288 Кбит RAM FPGA Altera Cyclone) - сокращение энергопотребления (ток до 100 мкА в CPLD Xilinx CoolRunner II) - сокращение стоимости на вентиль (до $1.5 за 1000 логических элементов FPGA Altera Cyclone) - увеличение количества внешних контактов (до 384 CPLD Lattice ispMACH) - обеспечение внутрисхемной программируемости (Lattice CPLD ispMACH, Actel FPGA ProAsic Plus) - обеспечение секретности (Xilinx CoolRunner II, Actel ProASIC, Actel SX-A). Все это вместе с развитием средств проектирования и отладки ПЛИС, а также с ростом предлагаемых IP-компонент (которым посвящены отдельные нижеследующие пункты) резко расширяют сферу применения ПЛИС. 7.2. Развитие средств проектирования ПЛИС 7.2.1. Только факты ---------------- 2001 год ----------------------------------- =================================================================== 27 ноября Cypress предлагает полную среду разработки для самой большой в мире CPLD =================================================================== Delta39K/Ultra37000 Prototype Board содержит и 100,000 - вентильную Delta39K и Ultra37000 с 256-макроячейками. Доступ к обоим CPLD в связке обеспечивает богатые возможности прототипирования. WarpISR Programming Kit (CY3620R61) обеспечивает программирование всех соответствующих CPLD от Cypress. Цена - от $175. Дополнительная информация : http://www.cypress.com ======================================================================= 7 января Mentor Graphics поддерживает микросхемы ProASIC фирмы Actel ======================================================================= ProASIC - это семейство Flash FPGA емкостью от 150 тысяч до 1 миллиона вентилей. ProASIC имеют энергонезависимую конфигурационную флеш-память, до 192Кбит двухвходовой встроеной SRAM и 712 конфигурируемых пользователем контактов ввода-вывода, улучшенную внутрисистемную программируемость. LeonardoSpectrum (синтезатор от Mentor) теперь поддерживает ProASIC. Цена на LeonardoSpectrum - от $8,950. Дополнительная информация : http://www.actel.com http://www.mentor.com ======================================================================= 7 января Synplicity поддерживает микросхемы ProASIC от Actel ======================================================================= Symplify - это средства синтеза фирмы Symplicity, теперь поддерживают микросхемы ProASIC. Цена - от $9,000. Дополнительная информация : http://www.synplicity.com http://www.actel.com ======================================================================= 15 января Insight Electronics предлагает средства разработки для Xilinx CoolRunner-II CPLD ======================================================================= Insight CoolRunner-II Development Kit обеспечивает эксперимены с чипами CoolRunner-II, включая исследования стандартов ввода/вывода, управление циклами и уровнями секретности, измерения энергопотребления. Цена Insight CoolRunner-II Development Kit - $95. Дополнительная информация : http://www.insight-electronics.com/coolrunner2 http://www.xilinx.com ==================================================================== 4 марта Altera увеличивает производительность PLD с помощью новых средств синтеза от Mentor Graphics ==================================================================== Precision Synthesis разработан специально для APEX, Stratix и Excalibur. Сейчас имеется beta-версия, полноценный релиз планируется на второй квартал 2002 года. Precision Synthesis работает на платформах Windows NT, 98, 2000, XP, Solaris и HP-UX. Precision Synthesis будет использован как замещающий Leonardo Spectrum Level 3. Для LeonardoSpectrum Altera version, LeonardoSpectrum Level 2 и FPGA Advantage Personal Edition Mentor продолжит поддержку и выпуск новых версий. Дополнительная информация : http://www.mentor.com/synthesis/ http://www.altera.com ==================================================================== 4 марта Mentor Graphics выпускает новое мощное средство синтеза для PLD следующего поколения ==================================================================== Precision Synthesis максимизирует производительность как существующих PLD, так и FPSoC следующих поколений. Mentor Graphics сотрудничала при разработке с Altera, Lattice Semiconductor и Xilinx. Новое средство синтеза является масштабируемым и оптимизировано под архитектуры Stratix и Excalibur фирмы Altera, а также Virtex II фирмы Xilinx. Основные достоинства Precision Synthesis: интутитивный GUI, новый набор алгоритмов оптимизации (ASE), эффективное средство оценки временных соотношений (PreciseTime). Говорит Hitoshi Matsumoto (ведущий инженер Mitsubishi Electric Corporation): "Одна из наших главных проблем заключается в том, что мы проводим значительное количество времени, исправляя временные проблемы, возникающие после размещения и трассировки, и мы не имеем достаточно информации от наших средств синтеза, чтобы сделать правильный выбор. Мы надеемся, что Precision Synthesis поможет нам корректировать временные проблемы непосрественно в front-end. Алгоритмы оптимизации ASE (Architecture Signature Extraction) автоматически выполняют специфические оптимизации на таких частях проекта как FSM (Finite State Machine), пересекающиеся пути, комбинационная логика, слияние LUT (Look Up Table), logic tunneling, register re-timing, timing driving I/O Block mapping. Precision Synthesis включает PreciseTime - новый инкрементальный инструмент, который, обнаруживая критические пути, автоматически вызывает ASE оптимизации. Дополнительная информация : http://www.mentor.com/synthesis/precision ==================================================================== 4 марта Xilinx поддерживает новое средство синтеза от Mentor Graphics ==================================================================== Поддержка включена в Xilinx ISE, который работает со всеми FPGA фирмы Xilinx в том числе и Virtex II Pro. Virtex II Pro FPGA - это первая в мире FPGA, которая интегрирует IBM процессор Power PC мультигигабитыми последовательными трансиверами. Дополнительная информация : http://www.mentor.com/synthesis/ http://www.xilinx.com ==================================================================== 4 марта Synplicity обеспечивает поддержку платам прототипирования ASIC ==================================================================== Certify позволяет прототипировать ASIC на стандартных платах, содержащих множество FPGA. Теперь доступная QPT (Quick Partitioning Technology) автоматизирует одну из самых сложных проблем в прототипировании - разделение устройства по разным FPGA. Свойство "pin-multiplier" программы Certify позволяет передавать множество сигналов между устройствами, сокращая необходимое количество контактов. Это очень важно, поскольку необходимое количество связей может исчисляться тысячами, что не позволяет разместить проекты даже в очень большие FPGA. Philips Semiconductors использует Certify около 3 лет. Synplicity объявила программу "Partners in Prototyping" (PIP), обеспечивающую качественное взаимодействие Certify, а также требуемого программного и аппаратного обеспечения третьих фирм. Сейчас в эту программу входят: Dini Group http://www.dinigroup.com Gidel http://www.gidel.com Hardi Electronics http://www.hardi.se Nallatech http://www.nallatech.com Разработчики могут войти на PIP WEB сайт, чтобы быстро проверить имеются ли платы, отвечающие потребностя конкретного проекта, загрузив с сайта описания плат (.vb файлы). Certify 6.0 доступна с марта 2002 года по цене $115,000 для ОС Windows NT, Windows 2000 и UNIX (Solaris & HP). Впевые Certify была анонсирована в мае 1999 года. И это первое средство позволяющее RTL-прототипирование ASIC перед синтезом ASIC. Верификация на ранних стадиях проекта сильно повышает производительность, сокращает время выхода на рынок, особенно для ASIC/SoC в миллион и более вентилей в области мультимедиа и коммуникационных приложений. Сегодня Certify используется дюжинами разработчиков для верификации своих ASIC. Дополнительная информация : http://www.synplicity.com/programs/prototyping/ http://www.synplicity.com ==================================================================== 4 марта Новые стратегические отношения Synplicity ==================================================================== Fujitsu и Chip Express - производители ASIC, которые намерены поддержать Synplicity. Они работают над тем, что бы интегрировать ее программное обеспечение в свои потоки проектирования ASIC. Synplify объявила об аналогичных отношениях с Verplex и LogicVision. Сегодня, когда стали обычными мультимиллионно-вентильные проекты, значительная часть функциональности по тестированию должна быть встроена непосредственно в чип, для того что бы обеспечить приемлемое по стоимости тестирование. Synplicity работает вместе с LogicVision, чтобы помочь пользователям корректно встраивать в свои проекты микротестеры от LogicVision используя среду проектирования от Synplify. Synplicity разработала также Express Evaluation Kit. Он позволяет всего за один день инсталлировать программное обеспечение и обучиться работать с ним. EEK включает оценочную версию программного обеспечения, учебник по проектированию, тестовый пример проектирования, все необходимые для проектирования библиотеки. ЕЕК может быть загружен с сайта Synplicity. Synplify ASIC доступна с июня 2001 года для Linux (Red Hat 7.1), HP-UX 11.0, Sun Solaris 2.7/2.8 и Windows NT 4.0, Windows 2000. Постоянная лицензия стоит $115,000. Годичная лицензия стоит $69,000. Дополнительная информация : http://www.synplicity.com ==================================================================== 11 марта Synplicity анонсирует поддержку Virtex-II Pro от Xilinx ==================================================================== Synplify Pro - средства синтеза, оптимизированны под Virtex-II Pro, содержащие ядро Power PC. Кроме того, Synplicity предлагает системным архитекторам прямой процесс проектирования от концепции к реализации для Virtex-II Pro, за счет интеграции со средствами C++-в-HDL от Forte Design Systems. Цена на Synplify Pro - от $19,000. Дополнительная информация : http://www.synplicity.com ==================================================================== 13 марта LSI Logic лицензировала ModelSim ==================================================================== - для симуляции VHDL, Verilog, высокоуровневые VHDL тесты совместно с Verilog нет-листами - после 6-летнего эксплуатации ModelSim для симуляции VHDL. Дополнительная информация : http://www.model.com http://www.mentor.com ====================================================================== 8 апреля Actel аннонсирует Cadence-поддержку для ProASIC Plus FPGAs ====================================================================== Для симуляции проектов на базе ProASIC Plus можно использовать симуляторы NC-Sim, NC-Verilog, NC-VHDL, Verilog Desktop, VHDL Desktop NC-Sim DeskTop. В свою очередь Cadence BuildGates обеспечивает эффективный синтез для ProASIC Plus. Все более часто разработчики ASIC вынуждены использовать PLD-технологии для сокращения сроков и стоимости разработки. Семейство ProASIC Plus включает 6 устройств от 150,000 до 1 млн системных вентилей. Комбинация близкой к ASIC архитектуры и энергонезависимой флеш-памяти делает ProASIC сильной альтернативой ASIC. Устройства функционируют при выключении питания, не требуют конфигурационной памяти и обеспечивают высокую степень секретности. Поддерживается до 198Кбит двух портовой встроенной SRAM и 712 конфигурируемых пользователем контактов ввода/вывода. Дополнительная информация : http://www.actel.com ====================================================================== 8 апреля Synplicity улучшает Synplify Pro ====================================================================== Новая версия Synplify Pro поддерживает стандарт Verilog 2001, имеет улучшенную производительность и поддерживает новые ОС (Windows XP и Linux (RedHat 7.2) и устройства (Altera Stratix, Actel ProASIC Plus, Lattice ispGDX2 и isp5000MX, Xilinx CoolRunner II, Virtex-II Pro FPGA). Verilog 2001 включает в себя поддержку конфигурируемого IP моделирования и управление проектом, языковые новшества: арифметические выражения к регистрам, литералам, оператор возведения в степень, список чувствительности, разделенный запятыми, Разработанные Synplicity алгоритмы синтеза B.E.S.T. (Behavior Extracting Synthesis Technology) извлекают из описаний память, FSM, сложные математические функции, а затем эффективно размещают все это по ресурсам конкретного устройства (например блоки MAC и TriMatrix в Stratix). Synplify 7.1 и Synplify Pro 7.1 стоят от $9,000 и $19,000 соответственно. Дополнительная информация : http://www.synplicity.com ====================================================================== 10 апреля LS 2002a - новая версия LeonardoSpectrum от Mentor Graphics ====================================================================== В настоящее время с LS работают более 30,000 разработчиков - используя микросхемы от Altera, Actel, Lattice, QuickLogic и Xilinx. LS 2002a обеспечивает полную поддержку новых микросхем Stratix от Altera. Кроме того, при использовании LS 2002a разработчики могут назначить аттрибут LogicLock одному или более модулей в среде синтеза. Эти атрибуты автоматически будут переданы в Quartus II для эффективного размещения внутри указанного фрагмента чипа. Это позволяет командную разработку чипов, когда каждый модуль разрабатывается независимо. Mentor Graphics очень плотно работает с Xilinx что бы эффективней поддерживать новые семейства Xilinx Virtex-II Pro и Spartan-IIE, в том числе встроенные умножители, SRL (shift register LUT) и RAM. Теперь LS 2002a поддерживает также синтез для Actel ProASIC Plus, Lattice MACH 4a, QuickLogic Eclipse, QuickDSP, QuickPCI и QuickRAM. LS 2002a работает также и под Microsoft Windows XP (в дополнение к Windows NT, 98, 2000, Solaris и HP-UX). Цена на LS 2002a - от $4,500 для одного пользователя. Дополнительная информация : http://www.mentor.com/synthesis ====================================================================== 15 апреля Get2Chip вводит RTL Compiler для 100M-вентильной эры ====================================================================== RTL Compiler (также известный как G2C-RC) - высокоскоростное средство синтеза сверхбольших проектов. Проведена огромная работа по проверке совместимости G2C-RC с другими EDA продуктами. Базовая цена G2C-RC - $100,000. Get2Chip основана в 2000 году ветеранами EDA из Cadence, LSI Logic, Mentor Graphics, Synopsys и VLSI Technology для создания средств разработки мультимилионных чипов на архитектурном, регистровом и вентильном уровнях. Дополнительная информация : http://www.get2chip.com ====================================================================== 15 апреля Mentor Graphics покажет средства разработки FPGA Precision Synthesis на Programmable World 2002 ====================================================================== Дополнительная информация : http://www.xilinx.com/pw2002 http://www.mentor.com ==================================================================== 2 мая Новый Evaluation Kit для Xilinx Spartan-IIE FPGA ==================================================================== Этот kit содержит плату, полный комплект документации, демонстрационные программы, и набор средств разработки. Цена - $249. Вместе лицензией на ядро MicroBlaze и модуль коммуникации/памяти цена - $650. Дополнительная информация : http://www.ads.avnet.com http://www.avnet.com http://www.xilinx.com ==================================================================== 14 мая Xilinx и Nallatech вводят XtremeDSP Development Kit (XDK) ==================================================================== XDK - идеальная среда разработки для FPGA Xilinx 2V2000 Virtex-II. XDK содержит аппаратную платформу от Xilinx и программное обеспечение FUSE (Field Upgradeable Systems Environment) от Nallatech. XDK включает также XtremeDSP оценочную версию, который включает такие средства как MATLAB/Simulink от The MathWorks, System Generator для DSP и ISE от Xilinx, Synplify Pro от Synplicity и FPGA Advantage от Mentor Graphics. Цена XDK - $1995. Nallatech (Glasgow, Scotland) основана в 1993 году, занимается разработкой реконфигурируемых FPGA систем. Дополнительная информация : http://www.nallatech.com http://www.xilinx.com/dsp ==================================================================== 12 августа Actel анонсирует дешевую платформу для ProASIC Plus FPGA ==================================================================== Новая плата прототипирования разработана совместно с First Silicon Solutions (FS2) и содержит: socket BG456 - что бы можно было работать с любым членом семейства ProASIC, микроконтроллер Zilog eZ80, 2 Мбайта флеш-RAM, 2 Мбт SRAM, интегрированный UART. Цена на этцу плату - $950. Сразу с момента выпуска ProAsic Plus (январь 2002), они завоевали широкую популярность. Дополнительная информация : http://www.actel.com http://www.fs2.com ===================================================================== 24 сентября Ведущие EDA-компании поддержали новейшие супердешевые FPGA Cyclone фирмы Altera ===================================================================== Среди них: Mentor Graphics (LeonardoSpectrum 2002d, Precision ModelSim 5.6a), Synopsis(FPGA Compiler II 3.8), Synplicity(Synplify 7.2 и Synplify Pro). Дополнительная информация : http://www.mentor.com http://www.synopsys.com http://www.synplicity.com http://www.altera.com ===================================================================== 24 сентября Altera Quartus II поддерживает FPGA Cyclone ===================================================================== Вы можете получить бесплатно Quartus II Web Edition для полного проектирования, синтеза, размещения и верификации FPGA семейства Cyclone. Используя этот Quartus II можно проектировать FPGA семейств Stratix, APEX II, Excalibur и CPLD MAX 7000. Quartus работает под Windows, Sun Solaris, Red Hat Linux и HP-UX. Для Excalibur Quartus II включает C/C++ компиляторы, отладчики и IDE. Дополнительная информация : http://www.altera.com/cyclone ==================================================================== 1 октября Xilinx бесплатно распространяет ISE WebPACK 5.1i ==================================================================== ISE WebPACK поддерживает все Xilinx семейства PLD, включая: CoolRunner-II, Spartan-IIE, Virtex-II, Virtex-II Pro, XC2VP2. Плотность Xilinx FPGA - до 8 миллионов системных вентилей. Скорость компиляции ISE 5.1i - до 200,000 вентилей/мин. По данным Xilinx, ISE установлен более 150,000 раз - что составляет более 50% рынка. Дополнительная информация : http://www.xilinx.com/ise/webpack5 ==================================================================== 1 октября Xilinx выпускает документированные проекты для CPLD CoolRunner-II ==================================================================== Проекты могут быть бесплатно получены по адресу: www.xilinx.com/cr2 Проекты включают полный исходный HDL-текст и детальные комментарии. Семейство CoolRunner-II выпущено в начале этого года, основные достоинства: высокая производительность, низкое потребление энергии, высокий коэффициент отношения количество выводов/количество макроячеек: - от 32 до 512 макроячеек - производительность до 300 Мгц - время задержки от контакта к контакту - 3.5 нс. - ток в режиме "standby" меньше 100 микроампер - напряжение питания - 1.8В - технология 0.18 мк Дополнительная информация : http://www.xilinx.com ==================================================================== 7 октября Xilinx и Agilent Technologies выпускают новые средства разработки ==================================================================== Xilinx и Agilent интегрировали Xilinx ChipScope Pro, Agilent Trace Core и Agilent FPGA Trace Port Analyzer в единый инструмент. Впервые в практике верификационное программное обеспечение интегрировано с тестовым оборудованием. Agilent Trace Core - это IP-компонента, которая разработана специально для внутрисхемной отладки Xilinx FPGA. Agilent FPGA Trace Port Analyzer обеспечивает высокопроизводительный сетевой доступ к конфигурированию FPGA, имеет объемную память трассировки. Поддерживаются микросхемы семейств Xilinx Virtex-II Pro, Virtex-II, Virtex-E, Spartan-II и Spartan-IIE. ChipScope Pro поддерживает все семейства Xilinx FPGA и работает в ОС Windows 2000/XP, обеспечивая отладку проектов. Ядра ChipScope Pro погружаются в FPGA, чтобы обеспечить отладку в реальном времени посредством стандартного порта JTAG. ChipScope Pro вместе с Agilent Trace Core доступны сейчас как дополнительные компоненты к Xilinx ISE release 5.1i с рекламной ценой $695 до 7 ноября. Кроме того, ChipScope Pro может быть загружен на 30 дней бесплатно с сайта : http://www.xilinx.com/chipscopepro Agilent FPGA Trace Port Analyzer, E5904B/500, стоит $6,995. Дополнительная информация : http://www.agilent.com/find/FPGA 7.2.2. Обобщения и выводы Стремительное развитие возможностей ПЛИС вызывает потребность в развитии соответствующих средств отладки. Однако на сегодня очевиден разрыв (который по оценкам многих экспертов в последнее время только увеличиваеся) между возможностями самих ПЛИС и возможностями средств их проектирования. В первую очередь развиваются синтезаторы и отладочные платы - поскольку без них использование ПЛИС вообще не возможно. Тем не менее, даже синтезаторам есть куда развиваться как по снижению времени на компиляцию и синтез проектов, так и по качеству использования ресурсов ПЛИС. Недостаточно эффективны и аппаратные средства отладки проектов (отладочные платы). Самые же большие претензии к средствам симуляции и отладки проектов. Лучшие из имеющихся симуляторов (например, такие как ModelSim фирмы Mentor Graphics) обеспечивают симуляцию на уровне регистровых передач, что в связи с ростом сложности проектов явно недостаточно. Нужны средства симуляции, обеспечивающие смешанную симуляцию - на системном, архитектурном и регистровом уровнях, с возможностью использования высокоуровневых моделей процессоров, сложных компонент проектов, тестовых воздействий и внешней среды. Кроме того, нужны более интеллектуальные средства анализа результатов симуляции и отладки, поддержка коллективной разработки, сквозное использование моделей на всем протяжении разработки и верификации. Все эти направления являются сейчас объектами интенсивных исследований в академической среде, лучшие из которых имеют все шансы перерасти в коммерчески перспективные проекты. 7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.1. Цифровая обработка сигналов ====================================================================== 10 апреля Photon Vision Systems выбрала Xilinx Platform FPGAs 2002 для производства новых модулей ====================================================================== PVS намерена использовать Xilinx FPGA для реализации функций JPEG кодирования/декодирования. Virtex II выбрана в связи с наличием встроенных блоков RAM и 18-битных умножителей, что упрощает разработку FFT и других функций DSP. Дополнительная информация : http://www.xilinx.com ==================================================================== 21 мая Xilinx и Duma Video анонсируют высокопроизводительный 2002 MPEG Encoder на базе FPGA Virtex-II ==================================================================== Стоимость его ($600) в пять раз меньше стоимости аналога, выполненного на базе ASIC. Кроме того, использование FPGA позволило легко поддержать множество видео и аудио формтаов. Дополнительная информация : http://www.dumavideo.com http://www.xilinx.com/platform http://www.xilinx.com/ipcenter ==================================================================== 15 октября Avvida Systems использовали Altera FPGA Stratix, 2002 создав плату Tsunami для цифровой обработки сигналов ==================================================================== Плата включает FPGA Stratix, оперативную память, быстрый обмен и PCI интерфейс. FPGA Stratix может иметь от 10,570 до 114,140 логических элементов, до 10 Мбит памяти. Avvida Systems (Канада, Онтарио) работает более 15 лет. Дополнительная информация : http://www.avvidasystems.com/tsunami.html http://www.altera.com/stratix 7.3.2. Телекоммуникации ====================================================================== 3 апреля TippingPoint Technologies выбрала Xilinx FPGA Virtex-II 2002 для разработки сетевого прибора ====================================================================== Созданный UnityOne будет работать с мультигигабитными данными и обеспечивает сетевую защиту. Virtex II содержит от 40,000 до 8 млн системных вентилей. Дополнительная информация : http://www.tippingpoint.com http://www.xilinx.com ==================================================================== 2 июля Xilinx продает масштабируемые и гибкие устройства на базе 2002 FPGA для SFI-4 и XSBI ==================================================================== Дополнительная информация : http://www.xilinx.com ==================================================================== 3 июля ZettaCom и Xilinx разрабатывают плату прототипирования 2002 для демонстрации 10G Ethernet ==================================================================== ZettaCom основана в 1999 году - разрабатывает устройства сетевой обработки. Дополнительная информация : http://www.zettacom.com ==================================================================== 16 октября Xilinx анонсирует Crossbar Switch ==================================================================== Crossbar Switch - програмируемый маршрутизатор на 1024 входных и 1024 выходных порта и до 200 Мб/с на порт. Проект использует JBits - java-приложение манипулирующее потоками битов. JBits API обеспечивает быструю реконфигурацию FPGA. Virtex II - единственная FPGA, поддерживающая частичную реконфигурацию. Дополнительная информация : http://www.xilinx.com/esp/xbarswitch ==================================================================== 21 октября Xilinx и ACUNIA (Бельгия) распространяют полностью 2002 программируемое решение для следующего поколения устройств телематики - CarCube ==================================================================== CarCube - платформа для проектирования устройств телематики - базируется на микросхемах семейств Xilinx Spartan-II и CoolRunner-II, поддерживает интерфейсы CAN и USB. CarCube основан на технологии XINGU, разработанной в ACUNIA. Spartan-II FPGA дополняют процессор Intel Xscale 80200, CoolRunner-II низкопотребляющая CPLD, до 128 Мгбт SDRAM и до 16 Мбт флеш-памяти. Как результат, плата CarCube обеспечивает обработку с производительностью 900 MIPS и передачей "процессор-память" 800 Mbps. Это позволяет платформе CarCube легко поддержать будущие приложения в автомобиле, например исполнять Java-программы и обрабатывать 3D-видео высокого разрешения. CarCube поставляется с программынм обеспечением, поддерживающим GSM voice and data, GPRS data, GPS with dead reckoning, интерфейсы CAN и USB, аудио-интерфейсы, устройство HMI с сенсорным экраном и программируемыми клавишами. Дополнительная информация : http://www.acunia.com/aes http://www.xilinx.com 7.3.3. Обобщения и выводы Наиболее перпективными на сегодня направлениями применения ПЛИС являются цифровая обработка сигналов, сетевая обработка и телекоммуникации. Использование специализированных архитектур в этих прикладных областях существенно повышает производительность, что чрезвычайно важно для подобных приложений. Важно также отметить возможность эффективной параллельной обработки. И, наконец, внутрисхемная программируемость позволяет разрабатывать утсройства, адаптирующиеся к решаемым задачам (например, настройка на новые протоколы обмена). 8. IP-компоненты для ПЛИС и ASIC 8.1. DSP-обработка ======================================================================== 4 сентября Virtual Silicon выпустила оптимизированные IP компоненты 2001 "datapath" (высокопроизводительные арифметические функции) +++++=================================================================== Набор стандартных ячеек eSi-Route/Datapath, используется совместно с Module Compiler от Synopsis, особенно полезен при разработке устройств высокоскоростной графики, процессоров цифровой обработки сигналов и средств телекоммуникационной обработки, значительно ускоряя сумматоры, умножители и мультиплексоры. Интерес к eSi-Route/Datapath уже выказала AMD. Продукт включает более 70 стандартных IP-компонент, оптимизированных для UMC L180 - технологии 0.18 микрон. Лицензирование стоит $79,000. Дополнительная информация : http://www.virtual-silicon.com =================================================================== 3 октября CAST объявляет о серии IP ядер для сжатия образов и 2001 видео =================================================================== Эти ядра поставлены новым партнером фирмы CAST - фирмой Alma Technologies, (Афины, Греция), Alma Technologies - это новая фирма, сфокусированная на разработке IP компонент для мультимедиа, крипографии и ЦОС. Среди шести основателей фирмы 3 имеют степени Ph.D, а другие 3 - M.Sc.. Созданные IP компоненты они продают через CAST. Кроме того, они обеспечивают проектирование по заказу для множества компаний. Alma Technologies Nikos D. Zervas, zervas@alma-tech.com Marathonos Av.2, Pikermi, Attika, GR 19005 Greece Tel: +30 1 6039850 info@alma-tech.com Fax: +30 1 6039850 www.alma-tech.com Разработанные IP компоненты включают DCT (Discrete Cosine Transform) и DWT (Discrete Wavelet Transform). Последнее обеспечивает более эффективное сжатие информации. Сейчас разрабатывается целая серия IP компонент, в том числе полное JPEG2000 ядро, выпуск которого планируется на середину 2002 года. IP компонента RC_2DDWT выполняет 2D прямое и обратное вэйвлет- преобразование, используя фильтры 5/3 и 9/7. Поэтому, они подходят для вэйвлет-преобразований образов и видео в стандартах JPEG2000 и MPEG4. Компонента базируется на вычислительной архитектуре "row-column". По мнению компании - это единственная коммерческая IP компонента для 2D-DWT. 97FG - это не IP компонента непосредственно, а генератор необходимого оптимизированного синтезируемого VHDL кода, для разработки банков фильтров для 9/7 DWT. В качестве исходных данных генератор получает: - тип фильтра (прямой или обратный) - ширину в битах входных данных - множество коеффициентов фильтра - количество стадий конвейера. Ядро DCT_FI выполняет прямое и инверсное преобразование косинусов, используя блоки пикселов 8*8 или 16*16. Оно оптимизировано под высокую производительность при минимальных аппаратных затратах. Например, оно работает на частоте 70 Мгц в Xilinx FPGA Virtex-6. И может быть включено в устройства выполняющие компрессию/декомпрессию по стандартам JPEG, MPEG1, MPEG2, MPEG4, H.261, H.263. Дополнительная информация : http://www.alma-tech.com http://www.cast-inc.com =================================================================== 4 октября Altera разработала IP компоненты контроллеров памяти 2001 для APEX II =================================================================== APEX II может обеспечить интерфейс к 200 MHz ZBT SRAM и 167 MHz DDR SDRAM. Соответствующие IP компоненты можно бесплатно загрузить с: http://www.altera.com/support/examples/verilog/verilog.html. Дополнительная информация : http://www.altera.com/products/devices/apex2/ap2-index.html http://www.altera.com/ipmegastore ================================================================== 10 декабря Новое IP-ядро "декодер Viterbi" от Atmel 2001 ================================================================== - соответствует стандарту IEEE 802.16 и обеспечивает максимальную в промышленности производительность (более 185 Мб/сек). Новый декодер Viterbi, версии 3.0 в два раза меньше и в два раза быстрее предыдущей версии. Стандарт IEEE 802.16 специфицирует беспроводной доступ типа "point-to-multipoint". Дополнительная информация : http://www.altera.com/literature/wp/wp_ieee80216.pdf http://www.altera.com/IPmegastore --------------------- 2002 год -------------------------------- ====================================================================== 10 апреля Xilinx выпустила Forward Error Correction (FEC) IP для Spartan-IIE FPGA ====================================================================== FEC IP-компонента является параметризованной и входит в семейство LogiCORE, включающее кодер/декодер Рида-Соломона, Convolutional Encoder, два декодера Витерби и Interleaver/De-interleaver. Это позволяет эффективно организовать цифровую обработку сигналов на базе Spartan-IIE FPGA. Продукты LogiCORE распространяются на условиях лицензии SignOnce IP и могут быть загружены с сайта http://www.xilinx.com/dsp Цена IEEE 802-Compatible Viterbi Decoder core для параметризованного исходного текста - $25,000 и для нет-листа - $7,500. Цена General Purpose Viterbi Decoder - для параметризованного нет-листа - $5,000. Все декодеры Витерби включат Convolutional Encoder - без дополнительной платы. Цена декодера и кодера Рида-Соломона - $5,000 и $500 соответственно. Цена Interleaver/ De-interleaver - $1,000. Дополнительная информация : http://www.xilinx.com/spartan ====================================================================== 10 апреля Xilinx выпускает новые IP-компоненты для Virtex-II ====================================================================== Эти IP компоненты пополнили существующее семейство LogiCORE и включают Digital Down Converter (DDC), CORDIC, Multiply-Accumulate -based Finite Impulse Response (MAC FIR) Filter, High-Performance 64-, 256-, 1024-point Complex Fast Fourier Transform (FFT)/Inverse FFT, 2-D Discrete Cosine Transform (DCT). Дополнительная информация : http://www.xilinx.com ==================================================================== 20 мая Techsan лицензировал IP-платформу фирмы Tality 2002 ==================================================================== MHP (Multimedia Home Platform) включает полный SDK (Software Development Kit), Porting Kit (PK), широкий набор MHP приложений и Enhanced Programming Guide. Tality интегрировала web-браузеры, e-mail-клиенты и игры в свою MHP. Кроме того, MHP интегрирована с технологией виртуальной Java машины фирмы Skelmir, которая легко портируется и доступна на широком множестве процессоров и ОС. Спецификация MHP - это открытый стандарт, который определяет общий интерфейс между интерактивными цифровыми приложениями и их терминальными устройствами, позволяющий разрабатывать приложения, исполняемые на множестве терминальных устройств, независимо от их архитектуры. Такие устройства включают: set-top boxes, home and media gateways, integrated digital TV sets and multimedia PCs. Спецификация MHP была разработана Digital Video Broadcast Project - это консорциум из более чем 300 вещателей, производителей, сетевых операторов, разработчиков ПО из 35 стран. Цель консорциума - выработать глобальные стандарты для распространения цифрового телевидения и других сервисов. Соответствие стандартам контролирует ETSI (European Telecommunications Standards Institute). Techsan - это корейский производитель set-top box устройств с 1997 года, включая варианты Free To Air, Embedded CA, Common Interface и OpenTV. Дополнительная информация : http://www.skelmir.com http://www.techsan.com http://www.tality.com ==================================================================== 21 мая inSilicon выпустила кодек JPEG2000 ==================================================================== Эта IP полностью соответствует спецификациям ISO/IEC JTC 1/SC 29/WG 1 (ITU-T SG8). По сравнению с предыдущим JPEG стандартом пользователи получают лучшее сжатие, улучшенный контроль при выборе компромиссов между размером файлов и качеством изображения и повышенной надежностью к передаче информации в искажающей среде. Кодек JPEG2000 от InSilicon использует обратное 5/3 wavelet преобразование для выполнения на лету компрессии/декомпрессии. Коэффициент сжатия превышает 50:1. Кодек JPEG2000 от InSilicon может быть портирован на любую ASIC или FPGA и предназначен для встроенных приложений малого размера и низкого потребления энергии. Он поддерживает программируемые размер блока, уровня декомпозиции, таблиц квантизации, обеспечивая тем самым управление коэффициентом сжатия. Кодек поддерживает кодирование- декодирование заголовков пакетов - для минимизации нагрузки на CPU по обработке пакетов, экономя тем самым энергопотребление и повышая производительность системы в целом. Для быстрого взаимодействия с любым CPU, кодек включает простой инфтерфейс с CPU, обеспечивающий полный доступ ко всем программируемым регистрам. Разработчики получают исходный текст на Verilog (RTL), поведенческие Verilog модели, исчерпывающий набор тестов, скрипты для синтеза и документацию для интеграции кодека JPEG2000 в SoC. Дополнительная информация : http://www.insilicon.com ==================================================================== 29 мая CAST выпускает IP компоненты для кодирования/декодирования JPEG ==================================================================== IP компоненты соответствуют стандарту ISO/IEC 10918-1, поддерживают образы размером до 64k x 64k, четыре таблицы Хаффмана и квантизации. Проекты программируемые, полностью синхронные, с минимальными требованиями к памяти. Предполагается обработка 70 миллионов отсчетов в секунду для быстрых FPGA. Эти ядра пополнили библиотеку CAST gpIP, включающую 8- и 16-битные процессоры, периферийные устройства, шины, сетевые интерфейсы, устройства коммуникации и функции шифрования. CAST с 1993 года работает на рынке IP компонент. Дополнительная информация : http://www.alma-tech.com http://www.cast-inc.com =================================================================== 10 июня Кодер JPEG2000 от CAST обеспечивает быстрое и гибкое сжатие образов =================================================================== IP ядро JPEG2K_E пополнило семейство gpIP разрабатываемое фирмой CAST. JPEG2K_E обеспечивает обработку 10 миллионов сэмплов в секунду при сжатии без потерь и 40 миллионов сэмплов в секунду при сжатии с потерями (в 100 Мгц FPGA). Это позволяет кодирование 5 мегапиксельного образа за полсекунды, или обработку стандартного TV (720 на 480 пикселов) со скоростью 30 кадров в секунду. Ядро JPEG2K_E обладает возможностью конфигурации и программируемостью, обеспечивая возможность выбора компромисса разработчиками приложения между скоростью обработки, потреблением энергии, размерами. Выпуск декодера и кодека JPEG 2000 планируются на конец этого года. Различные системные функции уже доступны - такие как Discrete Wavelet Transform, Discrete Cosine Transform, Huffman Encoder. По сравнению с популярным сейчас JPEG, JPEG 2000 обеспечивает более высокое сжатие, лучшее качество, устойчивость к ошибкам при передаче. Хорошая реализация алгоритма DWT (Discrete Wavelet Transform) критична к эффективности сжатия образов. JPEG2K_E обеспечивает оба фильтра 5/3 и 9/7, поддерживая высокое качество сжатия с потерями и без потерь. Образы обычно разбиваются на блоки для обработки. JPEG2K_E поддерживает относительно большие блоки - (256 x 256 пикселей) с глубиной 14 пикселов. Ввод может осуществляться в форматах RGB, YCrCb, YUV, CMYK и др. Объем требуемой ОП в ядре JPEG2K_E - от 43 до 80 Кбайт. Ядро JPEG2K_E разработана партнером CAST - Alma Technologies S.A. (www.alma-tech.com). Alma базируется в Греции, создана несколькими Ph.D. инженерами. Дополнительная информация : http://www.alma-tech.com http://www.cast-inc.com http://www.jpeg.org ===================================================================== 9 сентября Производительность микросхем Altera Stratix в DSP приложениях увеличена в 500 раз использованием soft- умножителей, создаваемых на структурах памяти Stratix TriMatrix ===================================================================== Soft-умножители идеальны для мультиканальных приложений, а также для функций, интенсивно использующих умножение, таких как "echo cancellers, equalizers, multi-user detection, beam forming". По производительности soft-умножители не уступают существующим встроенным (hard) умножителям. EP1S120 - самая большая из Stratix FPGA содержит в своих DSP блоках 112 18x18 умножителей, которые способны работать на частоте 300 Мгц. Использование soft-умножителей может увеличить их количество до 612, что в 3 раза превышает возможности конкурентов. Кроме того, soft-умножители обеспечивает бОльшую гибкость при построении ассиметричных умножителей и умножителей некратной разрядности. Микросхемы семейства Stratix, выполненные по технологии 0.13 мк, могут содержать от 10,570 до 114,140 логических элементов и до 10 Мбит RAM. Дополнительная информация : http://www.altera.com/DSP?xy=001_2 . http://www.altera.com/stratix?xy=001_3 . http://www.altera.com/literature/lit-an.html?xy=001_1 ===================================================================== 10 сентября Gadzoox Networks распространяет FC MAC Core для Xilinx Virtex-II Pro ===================================================================== Дополнительная информация : http://www.gadzoox.com/fabricore http://www.xilinx.com ==================================================================== 16 октября Xilinx и CrossBow Technologies анонсировали IP-компоненту параллельной обработки 2D-fabric 402c ==================================================================== Эта компонента обеспечивает коммуникации внутри чипа и между чипами для мультипроцессорных программируемых систем, использующих FPGA Xilinx Virtex II и Spartan. Используя ее, пользователь получает возможности: - непосредственно соединять до 256 процессоров или подсистем - разделять, интегрировать и верифицировать большие проекты на базе Virtex-II Pro - отображать системы в массивы дешевых устройств Spartan II для приложений, чувствительных к стоимости. Разработчики могут легко создавать высокопроизводительные системы на базе множества процессоров PowerPC или MicroBlaze, встроенных в Xilinx FPGA, используя 2d-fabric IP для обмена информацией между ними. CrossBow продает демонстрационную систему параллельной обработки на базе 9 FPGA Spartan-II (каждая из которых содержит процессорное ядро MicroBlaze, память, 2D-fabric IP, компоненты ввода-вывода) объединенных горизонтальными и вертикальными связями в двумерный массив 3*3. Цена IP компоненты 2D-fabric 402c - от $20,000. Дополнительная информация : http://www.crossbowip.com http://www.xilinx.com/ipcenter http://www.xilinx.com/ipcenter/signonce 8.2. Телекоммуникации -------------------------- 2001 год ------------------------------ =================================================================== 10 сентября VinChip и Altera поставляют IP компоненты USB 2.0 =================================================================== VinChip Systems стала членом программы AMPP (Altera Megafunctions Partners Program), привнеся в нее свои IP-компоненты USB 2.0, которые идеально подходят для высокоскоростных устройств, таких как роутеры, телекоммуникационные свичи, видеокамеры, фифровые камеры, принтеры, сканнеры, внешние CD-ROM-ы и устройства управления жесткими дисками. IP компоненты USB 2.0 поддерживают передачу данных со скоростью 480 Мбит/сек. Они оптимизированы для чипов APEX 20K и распространяются в виде оптимизированных зашифрованных Altera- нетлистов или в виде исходных текстов. IP компонента USB 2.0 занимает примерно 2,300 логических элементов и работает на частоте до 30 МГц. Лицензионная плата за IP компоненту USB 2.0 - $30,000. VinChip Systems разрабатывает IP-компоненты, оказывает услуги по проектированию систем в области вычислений, сетевой обработки, потребительской электроники, встроенных систем, авионики и промышленных систем. В настоящее время VinChip разрабатывает IP-компоненты для USB, Infiniband и Bluetooth вместе с соответствующим программным обеспечением. Дополнительная информация : http://www.altera.com =================================================================== 23 октября Mentor Graphics лицензировала IP компоненту USB фирме Parthus Technologies =================================================================== Parthus Technologies разрабатывает SOC платформу InfoStarem для средств мобильной коммуникации. Дополнительная информация : http://www.mentor.com/inventra =================================================================== 5 ноября Virtual Silicon выпускает семейсто высокоскоростных интерфейсных IP-компонент =================================================================== Первыми членами этого семейства стали USB1.1, SSTL-2 и AGP/4X. Далее к выпуску планируются USB2.0, PCI-2.2, PCI-X, LVDS (622 MHz), HSTL. Цены за лицензию - от $40,000 до $300,000. Дополнительная информация : http://www.virtual-silicon.com ================================================================== 3 декабря Mentor Graphics распространяет IP-компоненту двойного назначения: Full-Speed USB 2.0 и новый OTG (On-The-Go) ================================================================== Режим "On-The-Go" обеспечивает поддержку взаимодействия типа "point-to-point". Вместе с этой IP-компонентой распространяется и специальный проект, демонстрирующий доказательства корректности функционирования поставляемой IP-компоненты. OTG-дополнение к спецификации USB 2.0 было введено для обеспечения портативных устройств эффективным способом обеспечения взаимодействия в режиме "point-to-point" посредством протоколов USB. Это дополнение позволяет приложениям, таким как мобильные телефоны, PDA (personal digital assistants), цифровые камеры, и MP3 аудио-плейеры присоединяться непосредственно к периферийным устройствам, упрощая обмен файлами без необходимости подключения к PC. В то же время, использование именно протокола USB, обеспечивает совместимость с более чем 900 миллионами устройств, созданных на базе USB. Soft-IP-компонента и оценочная плата впервые поставляются одновременно. Оценочная плата демонстрирует как IP компонента может быть конфигурирована как стандартное устройство хранения данных, когда оно подсоединено непосредственно к PC. В хост-режиме, эта плата демонстрирует передачу файлов на принтер. MUSBFDRC (Mentor Graphics Inventra USB Full-Speed Dual-Role Controller) - это синтезируемая IP-компонента, которая обеспечивает хост- и периферийные функции при передаче данных по типу "point-to-point". Инженер при подключении ядра может сконфигурировать его на требуемый режим. Основные характеристики MUSBFDRC : - работа на полной скорости (12Mbps) - конфигурируется для работы с 16 устройствами приема и 16 устройствами передачи - встроенная совместимость с PVCI CPU I/F - опциональное наличие моста с AMBA-AHB и DMA-контроллера - графический интерфейс для конфигурации ядра - поставляется в виде Verilog или VHDL или firmware Inventra MUSBFDRC начнет поставляться в 1-ом квартале 2002 года. Дополнительная информация : http://www.mentor.com/inventra/usb-otg --------------------------- 2002 год ---------------------------- ====================================================================== 15 апреля Xilinx продает первый программируемый 10Gb Ethernet MAC ====================================================================== 1 и 10 Гб Ethetnet MAC IP-компоненты для Virtex-II Pro обеспечивают гибкость выбора соединений и будут представлены на Programmable World 2002. 10 GMAC соответствует спецификации IEEE P802.3ae Draft 4.1. 10 GMAC и 1 GMAC ядра входят в состав LogiCORE и продаются по лицензии SignOnce по цене $22,000 и $16,000 соответственно и достпуны для Virtex II. Кроме того, 1 GMAC может быть исполнен на Virtex-E и Spartanо-IIE. Необходимо ПО версии 4.1 или позже. Дополнительная информация : http://www.xilinx.com/pw2002 ====================================================================== 15 апреля Xilinx анонсирует открытый протокол (Aurora) для масштабируемого последовательного интерфейса ====================================================================== Протокол Aurora будет продемонстрирован на Programmable World 2002 на базе Xilinx FPGA Virtex-II Pro. Цель - ускорить переход индустрии с параллельных к последовательным архитектурам межсоединений. Предполагемая скорость передачи - до 50 Гбит/сек. Говорит Chuck Byers (Bell Labs, Lucent Technologies): "Параллельные архитектуры ограничены по количеству контактов и скорости передачи, поэтому последовательные соединения становятся нормой". Aurora - первый протокол, обеспечивающий прозрачный интерфейс для верхних уровней и промышленных стандартных протоколов, таких как Ethernet и TCP/IP, позволяя любым пакетам данных быть инкапсулированными и пересланными между чипами, платами и устройствами. Aurora использует гигабитные последовательные технологии на физическом уровне и масштабируется от 622 Мбит/сек до 3.125 Гбит/сек на физический канал. Aurora может агрегировать от одного до 16 физических каналов в виртуальный канал (линк). 16-канальный линк обеспечивает передачу до 50 Гбит/сек. Такая масштабируемость позволяет протоколу Aurora оптимально поддерживать мириады приложений таких, как терабитные маршрутизаторы и свичи, HDTV системы, подсистемы памяти и т.д. Дополнительная информация : http://www.xilinx.com ====================================================================== 22 апреля Tality выпускает IP-компоненту 10G MAC ====================================================================== Minspeed Technologies (подразделение Conexant Systems) лицензировала 10G MAC (10 Gigabit Ethernet Media Access Control) фирмы Tality. Дополнительная информация : http://www.mindspeed.com http://www.tality.com ==================================================================== 1 мая Tality выпустила IP компоненты для 802.11 ==================================================================== Tality - это подразделение Cadence. Дополнительная информация : http://www.tality.com ==================================================================== 20 мая Tality выпускает IP- компоненту Free-to-Air DTV ==================================================================== Эта DTV (Digital Terrestrial Television)-компонента базируется на ядре ST Microelectronics 5518 и стеке протоколов для стандарта DVB-T. Разработаны и программная и аппаратная составляющие, возможно портирование MHEG5 (Digital Teletext) engine, Common Interface stack, Internet броузера, Multimedia Home Platform (MHP). Tality - это подразделение Cadence Design Systems. Дополнительная информация : http://www.tality.com ==================================================================== 9 июля Xilinx и Paxonet Communications анонсировали первые синтезируемые компоненты для управления G.709-сетями ==================================================================== Эти компоненты - FEC-кодек и два Digital Wrapper/OTN Framers, которые поддерживают скорость передачи STS48/2.5G и STS192/10G. Дополнительная информация : http://www.xilinx.com/ipcenter http://www.paxonet.com ==================================================================== 15 июля Cadence ускоряет разработку коммуникационных систем ==================================================================== Cadence лицензировала RapidIO у Моторолы. RapidIO - высокопроизодительная коммуникационная технология, базирующаяся на передаче пакетов. Стандарт RapidIO позволяет передачу до 10 Гбит/сек. Cadence предполагет распространение средств разработки для систем RapidIO начиная с сентября 2002 года. Дополнительная информация : http://www.cadence.com ==================================================================== 15 июля Xilinx выпустила IP-ядро RapidIO ==================================================================== Xilinx продемонстрировала разработку на Motorola's Smart Networks Developer Forum. Две Xilinx FPGA Virtex-II в которых были реализованы IP-ядра RapidIO соединены кабелем, обеспечена скорость передачи 4 Гб/с. Разработанные RapidIO ядра физического, логического и транспортного уровней полностью соответствуют RapidIO Interconnect Specification v1.1 Цена на эти ядра $15,000, $10,000 и $10,000. Дополнительная информация : http://www.xilinx.com/rapidio http://www.xilinx.com/systemio http://www.xilinx.com/metro ==================================================================== 15 июля Более 10 компаний присоединились к RapidIO Trade Association ==================================================================== В том числе - Cypress Semiconductor Corp., Integrated Device Technology,Inc., National Semiconductor Corp., PMC-Sierra, STMicroelectronics, Cadence Design Systems, Inc., Synopsys, Inc., OSE Systems, Inc., IC4IC, Leopard Logic. Теперь в ассоциации, созданной в июне 2000 года, более 50 членов. RapidIO - это открытый стандарт для сетевой обработки, встроенных и коммуникационных приложений, определяющий высокопроизводительную архитектуру межсоединений. Дополнительная информация : http://www.RapidIO.org http://www.IC4IC.com ! IP-разработчики http://www.leopardlogic.com ! http://www.cadence.com http://www.cypress.com http://www.idt.com http://www.national.com http://www.ose.com http://www.pmc-sierra.com http://www.synopsys.com http://www.eu.st.com ==================================================================== 16 июля Altera выпустила IP-компоненту HyperTransport Interface 1.3 ==================================================================== HyperTransport Interface 1.3 обеспечивает передачу 12.8 Гб/сек, будучи реализованным на Altera Stratix EP1S25, и занимает около 10,000 логических элементов в Stratix. Цена на HyperTransport IP MegaCore - $17,995. Микросхемы Stratix содержат от 10,570 до 114,140 логических элементов и до 10 Мбит RAM. Они поддерживают различные электрические стнадарты ввода/вывода такие как LVDS, LVPECL, PCML, и HyperTransport, а также различные высокоскоростные интерфейсы, влючая UTOPIA IV, SPI-4 Phase 2, SFI-4, 10G Ethernet XSBI, RapidIO, HyperTransport и др. Дополнительная информация : http://www.altera.com/IPmegastore http://www.altera.com/stratix http://www.altera.com/education/events/northamerica/evt-platform.html ==================================================================== 22 июля Altera выпускает IP-компоненту для RapidIO ==================================================================== Altera RapidIO Physical Layer MegaCore IP конфигурируется для 8-битных разработок на скорости 840Мбит/сек или 16-битных разработок на скорости 500 Мбит/сек. Цена IP-RIOPHY - $17,995. Дополнительная информация : http://www.altera.com/ipmegastore ==================================================================== 23 июля Avnet Design Services и Xilinx анонсировали первую программируемую платформу для RapidIO ==================================================================== Эта платформа включает процессор PowerQUICC MPC857T и FPGA Virtex II. Технология межсоединений RapidIO это высокоскоростная, основанная на пересылке пакетов переключаемая шина типа "точка-точка" для взаимодействия процессоров, памяти, и устройств ввода-вывода. Технология RapidIO уменьшает количество требуемых контактов, обеспечивая в тоже время полнодуплексную передачу сигналов. Технология RapidIO оптимизирована под использование в несимметричных мультипроцессорных системах для доступа к разделяемой памяти. Цена RapidIO Development Kit - $30,000. Дополнительная информация : http://www.ads.avnet.com/rapidio http://www.xilinx.com/rapidio http://www.avnet.com http://www.xilinx.com/reference_design/avnet_rapidio.htm ==================================================================== 23 июля Xilinx поддерживает технологию PCI Express ==================================================================== - выпустив специальную IP-компоненту, которая будучи реализованной на FPGA Xilinx Virtex Pro, обеспечивает скорость передачи 2.5 Гб/сек. Цена этой компоненты - $25,000. Дополнительная информация : http://www.xilinx.com/systemio http://www.xilinx.com/pciexpress http://www.xilinx.com/platform http://www.xilinx.com/ipcenter http://www.xilinx.com/metro ===================================================================== 9 сентября Xilinx и Paxonet Communications анонсировали создание IP-платформы для FPGA-разработок LAN/WAN 10 Gigabit Ethernet ===================================================================== Дополнительная информация : http://www.paxonet.com http://www.xilinx.com/ipcenter http://www.xilinx.com/esp ==================================================================== 21 октября Xilinx выпускает открытый протокол Aurora - для последовательной передачи данных ==================================================================== Пользователям БЕСПЛАТНО доступны на сайте http://www.xilinx.com/aurora спецификация протокола и готовый к использованию проект для Xilinx Virtex-II Pro, которая включает процессор IBM PowerPC и мульти- гигабитные последовательные трансиверы типа RocketIO. Aurora - это масштабируемый протокол, использующий для передачи данных всего 4 линии и обеспечивающая на этих 4-х линиях более 3 Гбит/с. Aurora может агрегировать от 1 до 24 таких физических каналов в один виртуальный канал. Дополнительная информация : http://www.xilinx.com 8.3. Как распространяются IP-компоненты =================================================================== 10 сентября CAST присоединилась к Xilinx Common License Consortium =================================================================== CAST (основана в 1993 году) - ведущий поставщих IP - компонент для 8- и 16-битовых микропроцессорных систем. Xilinx Common License Consortium создан членами программы Xilinx AllianceCORE. Все члены консорциума согласились принять единую форму лицензирования IP-компонент, которая названа SignOnce IP License - она дает доступ к IP компонентам от разных производителей, оптимизированным под ПЛИС от Xilinx. Дополнительная информация : http://www.cast-inc.com http://www.xilinx.com/ipcenter/signonce.htm =================================================================== 11 сентября Mentor Graphics стала членом Common License Consortium =================================================================== Таким образом членам консорциума по унифицированной лицензии становятся доступны IP компоненты библиотеки Inevntra, содержащей 450 IP компонент, в том числе USB 1.1, USB 2.0, T1/E1 и M8051EW. Дополнительная информация : http://www.xilinx.com/ipcenter/signonce http://www.mentor.com/inventra/cores/catalog =================================================================== 11 сентября Eureka Technology присоединяется к Common License Consortium =================================================================== Eureka Technology - ведущий поставщик IP компонент для систем, базирующихся на шине PCI, и/или процессорах PowerPC, ARM, MIPS, ARC, SH2-4, основана в 1993 году. Дополнительная информация : http://www.eurekatech.com http://xilinx.com/ipcenter/signonce.htm =================================================================== 26 сентября Altera объявила об OpenCore Plus =================================================================== OpenCore Plus - первый в промышленности механизм для оценки в железе IP компоненты перед ее приобретением. Можно также бесплатно выполнить симуляцию всеми ведущими VHDL и Verilog симуляторами. OpenCore Plus - это дальнейшее развитие программы OpenCore, выдвинутой Altera в 1996 году под лозунгом ``try-before-you-buy''. Первые компоненты, доступные в рамках программы OpenCore Plus для HW оценки включают : компиляторы FIR и NCO, кодер и декодер Рида-Соломона, преобразователи FFT/IFFT. Дополнительная информация : http://www.altera.com/IPmegastore ================================================================== 20 декабря Mentor Graphics вводит новую систему хранения и 2001 распространения IP компонент. ================================================================== eParts - позволяет инженерам доступ к IP компонентам библиотеки Inventra посредством WEB-интерфейса. Система eParts включает - каталог IP - хранилище IP - автоматическую генерацию конфигурируемых компонент - распространение IP посредством Web - отслеживание версий - отслеживание исправлений ошибок Дополнительная информация : http://www.mentor.com 8.4. Обобщеиня и выводы Рынок IP-компонент стремительно развивается, на нем появляется много начинающих fabless-компаний. Основные области разработки IP-компонент - цифровая обработка сигналов и телекомммуникации. Возникает и находит свое разрешение проблема распространения IP-компонент. Отдельная возникающая супер-проблема - верификация распространяемых/покупаемых IP компонент. Решением этой проблемы послужило в том числе и создание специальных верификационных IP-компонент, которым посвящен следующий раздел. 9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. Сайт фирмы Verisity - http://www.verisity.com ------------------- 2001 год ---------------------------- =================================================================== 3 сентября Verisity и IBM обеспечивают совместимость своих языков верификации e и Sugar =================================================================== Предполагается разработка специального компилятора функциональных спецификаций из Sugar фирмы IBM в e фирмы Verisity, что обеспечит разработчикам унифицированный доступ к RuleBase и FoCs фирмы IBM и Specman Elite фирмы Verisity. Функциональная верификация - это существенная часть процесса разработки интегральных схем и электронных систем. Она обнаруживает ошибки в проекте и обеспечивает его качество прежде чем начнется дорогостоящее прототипирование или процесс производства. Обычно затраты на верификацию составляют от 50 до 70 процентов общих затрат на проект. Формальные функциональные спецификации составляют базис функциональной верификации, являясь, таким образом, ключевым компонентом в планировании и реализации процесса верификации проекта. Specman Elite - средство автоматизации тестирования от Verisity, которое базируется на языке e. RuleBase (IBM) - промышленный инструмент формальной верификации FoCs (IBM) - средства синтеза симуляционных чекеров по формальным спецификациям. Язык Sugar был создан в подразделении IBM Haifa (Israel). http://www.haifa.il.ibm.com/projects/verification/Formal_Methods-Home/index.html Компилятор спецификаций будет разработан фирмой NoBug Consulting (сервис и консультации при проектировании и верификации). ================================================================== 3 сентября NoBug Consulting лицензирует язык e фирмы Verisity ================================================================== - и становится членом программы LicenseE. Как член этоф программы NoBug Consulting получит открытый доступ к языку e, включая автономный парсер, и будет участвовать в работе e Steering Committee, целью которого является направлять развитие языка e. NoBug Consulting Inc. - ведущий провайдер сервиса по проектированию и верификации, в таких областях как микропроцессоры, цифровое видео и сетевые приложения, начиная от создания архитектуры и среды разработки до RTL кодирования и симуляции. Инженеры NoBug Consulting Inc. принимали активное участие в разработке сложных проектов с такими компаниями как IBM, MIPS Technologies, MMC Networks и другими. Дополнительная информация : http://www.nobugconsulting.com =================================================================== 5 ноября Поддержка ForSpec-инициативы Intel =================================================================== Intel разработала ForSpec как язык функциональной верификации и послала его в Accelera. Synopsis инкорпорирует ForSpec в свой язык OpenVERA средства верификации VERA. Verisity Design планирует инкорпорировать темпоральную логику ForSpec в свой язык e и средства верификации Specman Elite. Co-Design Automation согласилась внести конструкции ForSpec в свой язык проектирования и верификации SUPERLOG. Кроме того, Synopsys, Verisity и Co-Design Automation внесли в Accelera свои предложения по улучшению ForSpec. Дополнительная информация : http://www.intel.com/pressroom =================================================================== 15 ноября Verisity и CyberTec создают компоненты верификации =================================================================== CyberTec разработала I2C eVC с использованием языка e, созданного компанией Verisity. I2C eVC - это конфигурируемая верификационная компонента, которая легко может быть использована для верификации любого устройства, которое работает по протоколу I2C. I2C eVC может симулировать поведение режимов "master" и "slave", а также мультиагентных систем. CyberTec International Inc., занимается оказанием услуг в верификации и разработкой компонент верификации. Дополнительная информация : http://www.cybertec-int.com =================================================================== 20 ноября Три новых партнера Verisity в программе VIP =================================================================== 0-In, American Arium и Fintronic USA стали новыми членами программы VIP (Verisity Interoperability Partners). Цель программы - обеспечить интеграцию между продуктами Verisity: Specman Elite, SureCov и SureLint и разработками партнеров Verisity по программе VIP. 0-In предполагает выполнить интеграцию 0-In Check со Specman Elite. 0-In Check позволяет разработчикам инструментировать свой HDL текст чекерами CheckerWare и мониторами протоколов, с тем, что бы обнаруживать ошибки в проектах и измерять структурное покрытие в процессе симуляции. Завершение интеграции предполагается в начале 2002 года. Используя интерфейсные функции 0-In CheckerWare, средства генерации и анализа тестов Specman Elite смогут реагировать на статистику и информацию о структурном покрытии от чекеров и мониторов в реальном времени в процессе симуляции. После определения областей, которые недостаточно исследованы, Specman Elite сможет динамически изменить параметры генерации тестов с целью повышения эффективности формируемого пакета тестов. American Arium, поставщик средств разработки программного и аппаратного обеспечения для процессоров Intel IA-32, IA-64 и ARM, начала интеграцию между Specman Elite и SourcePoint. SourcePoint - это отладчик для языков программирования высокого уровня, имеющий средства интеграции с симуляторами процессоров на уровне инструкций и симуляторами аппаратного обеспечения. Ожидается, что интеграция будет реализована в первом квартале 2002 года. Fintronic USA намерена обеспечить интеграцию между Specman Elite и своим Verilog-симулятором Super FinSim. К достоинствам Super FinSim относятся: высокая производительность, малое потребление оперативной памяти, собственный механизм свопирования, компактный формат хранения результатов симуляции. Verisity обеспечивает членов программы VIP лицензионными инструментальными средствами, документацией, технической поддержкой, обновлениями программного обеспечения и доступом к бета-релизам верификациоонных продуктов от Verisity. Чтобы присоединиться к программе VIP, потенциальный партнер должен иметь хотя бы трех общих с Verisity пользователей, которые получили бы выгоду от предлагаемой интеграции. Лицензия оплачивается по $1,000 за конфигурацию каждого из средств: Specman Elite, SureCov и/или SureLint. Член программы VIP может получить не более 6 конфигураций. =================================================================== 26 ноября YogiTech присоединяется к Verisity VAP =================================================================== YogiTech S.r.l., независимая компания, оказывающая помощь в проектировании, базирующаяся в городе Pisa (Italy), присоединилась к программе VAP (Verification Alliance Partnership) фирмы Verisity. Теперь YogiTech может использовать Specman Elite в своих консультационных услугах и проводить тренинги по работе со Specman Elite для других фирм. Кроме того, YogiTech, как член программы VAP, получила все необходимое для разработки eVC (e Verification Component). Дополнительная информация : http://www.yogitech.com ================================================================== 3 декабря STARC выбрала язык e фирмы Verisity как язык описания верификационных IP компонент для повторного использования ================================================================== STARC (Semiconductor Technology Academic Research Center) это японская ассоциация кооперации науки и производства, основанная в декабре 1995 года. Членами STARC являются Fujitsu, Hitachi, Matsushita, Mitsubishi, NEC, OKI, Rohm, Sanyo, Sharp, Sony и Toshiba. STARC также намерена лоббировать e как открытый промышленный стандарт и планирует построить открытую библиотеку верификационных компонент с использованием ISDL (Interface Specification Description Language). ================================================================== 10 декабря Verisity продвигает совместимость ================================================================== Specman Elite получил интерфейс со Scirocco (Synopsis). ================================================================== 10 декабря Verisity выдвигает университетскую программу ================================================================== Цель - обеспечить в университетах по всему миру свободный доступ к языку верификации e и средствам верификации Specman Elite, SureCov, SureLint, а также поддержать исследовательские проекты. Членами программы уже являются: Chemnitz University of Technology in Chemnitz, Germany; Ecole Polytechnique de Montreal, Canada; India Institute of Technology Bombay, Mumbai, India; Institute of Microelectronic Circuits and Systems, Hannover, Germany; Institute for System Level Integration in Edinburgh, Scotland; McGill University, Montreal, Canada; Technion--Israel Institute of Technology, Haifa, Israel; University of Michigan, Ann Arbor, Michigan; University of Tuebingen, Germany. Университеты используют решения от Verisity для широкого спектра проетов включая базирующиеся на языке e средства исследования и разработки, исследовательские студенческие проекты в верификации сложных систем, совместные исследования с коммерческими компаниями, учебные курсы. Любое образовательное учреждение занимающееся новыми средствами верификации может присоединиться к программе. ================================================================== 12 декабря TNI-Valiosys лицензировала язык верификации e фирмы Verisity ================================================================== TNI-Valiosys намерена обеспечить более тестную интеграцию между своим imPROVE-HDL и средствами разработки eVC. imPROVE-HDL - это инструмент быстрой формальной проверки VHDL/ Verilog RTL и структурных моделей. TNI-Valiosys базируется во Франции. Среди пользователей продуктов TNI-Valiosys такие фирмы как: Texas Instruments, Philips, STMicroelectronics, Infineon, PSA, ALSTOM Transport, RATP, Matra Transport, France Telecom, Alcatel Telecom, NORTEL, CNES, Airbus-France, SNECMA Motors, Eurocopter, Thales Airborne Systems, Alcatel Space Industries, ESA, Allied Signal и др. ================================================================== 17 декабря Verisity и eInfochips выпускают верификационную компоненту PCI-X ================================================================== Цена eVC PCI-X - $15,000. Дополнительная информация : http://www.einfochips.com ---------------------------- 2002 год -------------------------- ====================================================================== 3 апреля CyberTec предлагает верификационные компоненты (eVCs) для AMBA APB и PowerPC MPC8xx для использования в Specman Elite фирмы Verisity ====================================================================== Разработка выполнена в рамках Verisity's Verification Alliance Program. APB eVC содержит все компоненты верификации для AMBA APB (Advanced Peripheral Bus) и резко сокращает сроки создания среды верификации SOC систем на основе процессоров ARM. APB eVC, разработанная CyberTec может использоваться совместно с ARM AHB eVC, разработанной Verisity. AMBA APB eVC может эмулировать главное и подчиненное утсройство, генерировать трафик и выполнять функции чекера протокола, ааткже анализа покрытия трафика. PowerPC MPC8xx eVC может быть сконфигурирована как множество чекеров протоколов для проектов на базе процессоров MPC823, MPC850, MPC860. Говорит Hiroshi Nonoshita (CyberTec): "Мы разработали много проектов встроенных систем на базе процессоров ARM и многократно выполняли верификации систем на базе процессоров семейства Power PC MPC8xx. Поэтому вполне естественно, что мы использовали наши знания этих процессоров и Specmane Elite для создания повторно используемых сред верификации. Кроме того, что они имеют интерфейсы к VHDL и Verilog симуляторам, наши eVCs верифицированы совместно с SystemC. CyberTec International Inc. занимается разработкой вериикационных компонент и консультациями исключительно базируясь на Specman Elite. Дополнительная информация : http://www.cybertec-int.com ====================================================================== 22 апреля Obsidian Software - 80й член программы Verisity Verification Alliance, объявленной в апреле 2000 года ====================================================================== RAVEN, разработанный Obsidian Software, - средство верификации процессоров (в том числе MIPS32 и MIPS64). В рамках альянса разработано 26 верификационных компонент (eVCs). Каждая из eVC включает 3 интегрированных компоненты: генератор стимулов, мониторы и чекеры для обозревания выводов и проверки правил протоколов, отчеты о покрытии, отражающих функциональное покрытие сценариев. Список доступных eVC включает: AHB APB ARM v4T ISA CAN (Controller Area Network) 2.0B DS3 Ethernet FiberChannel Gigabit Ethernet GMII HDLC I2C PCI PCIX PowerPC RapidIO SDH SGMII SONET SPI 4 SPI 4.2 SPI-3 Link Layer UART USB Utopia Level 1 Utopia Level 2 Utopia Level 4 Список разрабатываемых верификационных компонент: CSIX Q2'02 InfiniBand Q2'02 XGMII Q2'02 Bluetooth Q3'02 Дополнительная информация : http://www.VerificationVault.com ====================================================================== 22 апреля Sonics присоединилась к программе Verisity Pure IP ====================================================================== Теперь Sonics сможет разрабатывать свои IP-компоненты, используя Open Core Protocol (OCP). OCP - это конфигурируемый интерфейс, который включает все сигналы, требуемые для описания взаимодействия IP, в том числе потоки данных , управления, верификации и тестирования. Программа Verisity Pure IP упрощает разработку, распространение и интеграцию IP компонент. Она позволяет разработчикам IP-компонент верифицировать IP перед распространением, затем интегрировать и распространять свои знания в исполняемой форме посредством исполняемых верификационых пакетов. Дополнительная информация : http://www.sonicsinc.com ==================================================================== 6 мая Europe Technologies выбрала язык верификации e и среду верификации Specman Elite фирмы Verisity ==================================================================== ET - это fabless компания во Франции, которая разрабатывает SoC системы для потребительского рынка. ==================================================================== 13 мая Verisity анонсирует Specman Elite Version 4 ==================================================================== Specman Elite v4 повышает производительность в среднем на 27% в скомпилированном режиме и на 15% - в режиме интерпретации. Кроме того, улучшены средства анализа покрытия: - интеграция с SureCov - покрытие отдельных объектов - расширяемость покрытия - ранжирование тестов по покрытию В поставку включается Generation Debugger, который графически отображает процесс генерации тестов. Specman Elite v4 поддерживает интерфейс со следующими симуляторами: Verilog-XL, Affirma (NC sim, NC Verilog, NC VHDL) от Cadence Design Systems; SpeedSim от Quickturn (подразделение Cadence Design Systems); ModelSim от Model Technology; VCS от Synopsys. Specman Elite v4 доступен сейчас под ОС Linux, Solaris и HP-UX. Цена - $50,000 за плавающую LAN лицензию. ==================================================================== 28 мая Verisity и eInfochips анонсировали новые eVC ==================================================================== Три новых eVC от eInfoChip: Fibre Channel, SONET и Gigabit Ethernet. Эти eVC конфигурируемы и повторно используемы. Fibre Channel eVC полностью совместима со стандартом FC-PH от ANSI и поддерживает топологии Point-to-Point и Fabric, режим Loop-back и сервис Basic Link. Она включает конфигурируемый генератор тестов. SONET eVC соответствует стандарту ANSI T1.105. Gigabit Ethernet eVC соответствует стандартам ISO/IEC 8802-3: 2000(E) и IEEE Draft P802.3ae/D4.0. Дополнительная информация : http://www.einfochips.com http://www.verificationvault.com =================================================================== 3 июня Averant лицензирует язык верификации e фирмы Verisity =================================================================== Как член программы LicenseE, Averant может теперь разрабатывать и улучшать срества поддержки языка верификации e. Averant намерена добавить поддержку языка верификации e в свой продукт для статической верификации Solidify. Как член программы LicenseE, Averant получила открытый доступ к языку e, включая автономный e-parser и будет участвовать в работе e Steering Committee по развитию языка e. Кроме того, Averant стала членом программы Verisity Interoperability Partners (VIP), чтобы обеспечить более тесную интеграцию между Verisity Specman Elite и Solidify. Как член программы VIP Averant получает документацию и поддержку на Specman Elite. Averant основана в 1997 году, ее основной и единственный продукт - Solidify. Дополнительная информация : http://www.averant.com =================================================================== 3 июня Язык верификации e на пути к становлению стандартом =================================================================== Verisity объявила о присоединении к программе LicenseE ведущих компаний таких как: Cisco, STMicroelectronics и Black Cat Electronics. Конечная цель программы LicenseE - сделать e открытым всеобщим стандартом для языка верификации в следующие 3 этапа: - достичь де-факто принятия e стандартом - получить значительный интерес у EDA поставщиков к поддержке языка e - пройти принятую процедуру стандартизации де-юре =================================================================== 4 июня Globetech Solutions присоединяется к Verisity Verification Alliance Program =================================================================== Эта программа был объявлена, чтобы связать Verisity с ведущими сервисными консультирующими и проектирующими фирмами и эффективно обеспечивать пользователям средства верификации базирующиеся на Verisity Specman Elite. Globetech, кроме того, разработала серию eVC компонент, которые конфигурируемы и повторно-используемы. Дополнительная информация : http://www.globetechsolutions.com =================================================================== 10 июня IDT выбирает PCI-X eVC от eInfochips =================================================================== IDT (Integrated Device Technology) разрабатывает специальные устройства для сетевой обработки. PCI-X eVC - это набор поведенческих моделей и тестов, написанных на языке e, тестирующих проект на соответствие стандарту PCI-X. Имеются модели PCI-X для master и target устройств, а также PCI-X арбитра, контроля за доступом к шине, мониторы протокола и соблюдения временных соотношений, контролируется покрытие проекта тестированием. Имеется полный комплект тестовых сценариев на соответствие сепцификациям PCI-X и PCI 2.2. Дополнительная информация : http://www.idt.com http://www.einfochips.com https://www.verificationvault.com ==================================================================== 23 июля Verisity обучает продвинутым методологиям верификации ==================================================================== Vericity проведет серию семинаров в Северной Америке, Европе и Азии с сентября по ноябрь 2002 года. Вопросы семинаров: - стратегии верификации, включая создание планов тестирования - подход к функциональной верификации, основанный на оценке полноты покрытия - использование "assertions" и как они укладываются в стратегию тестирования - как автоматизировать процесс верификации быстрой разработкой маленьких тестов - повторное использование в верификации - методологии ускорения тестирования Дополнительная информация : http://www.verisity.com/home/seminar.html ===================================================================== 9 сентября Verisity анонсирует e Reuse Methodology (eRM) для разработки компонент верификации ===================================================================== За два года с использованием этой методологии Verisity создала 70 верификационных компонент. В настоящее время проекты обычно включают различные протоколы, интерфейсы и процессоры. Это требует интероперабельности от соответствуюших компонент верификации. eRM базируется на общей пользовательской модели и улучшенной функциональности Specman Elite. eRM включает три главных элемента: - лучший опыт разработки eVC - новая функциональность Specman Elite поддерживает разработку и визуализацию тестов - трансфер знаний, базирующийся на eRM Advanced Training Course, включаюшем примеры кодирования и обширную документацию. Чтобы обеспечить реальный контроль совместимости eVC с eRM Verisity поставляет eRM Checklist. eRM поставляется бесплатно пользователям Specman Elite. ===================================================================== 9 сентября Verisity и Cold Spring Engineering анонсируют eVC SPI 4.2 ===================================================================== Cold Spring Engineering разработала уже более 20 eVC. SPI 4.2 eVC полностью совместима со спецификацией Optical Internetworking Forum Serial Packet Interface Level 4, Phase 2, принятой в январе 2001 года. SPI 4.2 eVC включает в себя конфигурируемый генератор паттернов для создания случайных, управляемых случайных и ошибочных тестов. Пользователь может устанавливать количество портов, арбитраж портов, разрядность и многие другие характеристики. Кроме того, SPI 4.2 eVC, как и любая eVC, обеспечивает мониторинг, проверку и наблюдение за выходами и соблюдением правил, формирует отчет о функциональном покрытии сценариев. Дополнительная информация : http://www.coldspringeng.com https://www.verificationvault.com ===================================================================== 9 сентября Verisity и HCL Technologies выпускают ARM7 и ARMv4T eVC ===================================================================== HCL Technologies работает в областях: встроенные системы, проектирование и верификация ASIC, сетевая обработка и телекоммуникации. HCL Technologies имеет штаб-квартиру в США и 13 исследовательских центров в Индии. Дополнительная информация : http://www.hcltechnologies.com https://www.verificationvault.com ===================================================================== 9 сентября Verisity и Be One Lab создают 3 новых eVC: SONET/SDH, SPI4, UTOPIA4 ===================================================================== Be One Lab специализируется на "1+0" ASIC/FPGA верификации. 1 означает покрытие верификацией 100% проекта спустя один месяц после завершения разработки RTL. 0 означает 0 поддержки после - то есть работа чипа с первого изготовления. Be One Lab начала использовать Specman Elite с 1998 года и успешно делала это во многих более чем 7 миллионо-вентильных проектах для таких компаний как Newbridge, Alcatel, Maple Optical Systems, Calix Networks, Infineon и других. В 2001 году Be One Lab открыла свой центр в Китае для оффшорной альтернативы для заинтересованных клиентов. Дополнительная информация : http://www.b1lab.com https://www.verificationvault.com ===================================================================== 9 сентября eInfochips анонсирует PCI Express eVC ===================================================================== PCI Express eVC - это верификационная компонента для утсройств, работающих по шине PCI Express. Она включает модели PCI Express для устройств master и slave, модель арбитра доступа к шине PCI Express, чекеры и мониторы протоколов и timing-а. Кроме того, поставляется полный набор тестовых сценариев. eVC PCI Express будет продаваться с 4-го квартала 2002 года по цене $15,000 за одноразовую лицензию. Возможны скидки для многоразовых лицензий. Дополнительная информация : http://www.einfochips.com http://www.verisity.com/products/evc.html http://www.intel.com/pressroom ==================================================================== 14 октября Университетская программа фирмы Verisity развивается ==================================================================== За 2002 год к ней присоединилось 16 университетов и сейчас она включает 27 членов (она была объявлена в декабре 2001 года 11-ю университетами и Verisity). Члены программы получают бесплатный доступ к языку верификации e, среде верификации Specman Elite, средству анализа покрытия SuperCov. Многие университеты используют средства Verisity для ознакомления студентов младших курсов с основами функциональной верификации, в то время как другие - внедряют предметы на старших курсах, непосредственно по технологии e-верификации. Некоторые члены программы используют средства Verisity для выполнения исследовательских проектов совместно с коммерческими фирмами. Дополнительная информация : http://www.verisity.com/programs/university/index.html 9.2. Другие языки и средства верификации симуляцией =================================================================== 3 июня Верификация, основанная на assertions: 0-In, Averant и Bridges2Silicon - партнеры =================================================================== Методология верификации от 0-in позволяет использовать чекеры assertion на всем протяжении проектирования: при симуляции, аппаратной акселерации и эмуляции. Говорит Emil Girczyc (CEO, 0-In Design Automation): "Среди разработчиков растет понимание того, что верификация на основе assertions - это ключ к успеху при проектировании SoC. При этом необходимо, что бы все Ваши средства разработки понимали все assertions." Averant Solidify обеспечивает статическую верификацию. Bridges2Silicon Intelligent In-Circuit Emulator (IICE) обеспечивает быстрое прототипирование. Дополнительная информация : http://www.0-in.com =================================================================== 3 июня Co-Design выпустила Systemsim 2.0, который поддерживает моделирование SUPERLOG и SystemVerilog, Verilog 2001 =================================================================== Systemsim 2.0 позволяет верифицировать проекты используя SystemVerilog Assertions, генерацию тестов случайным образом, объектно-ориентированное программирование. Systemex конвертирует SystemVerilog/Verilog2001 в Verilog95 RTL для обеспечения синтеза. Co-Design также улучшила CBlend - средство интеграции C/HDL в Systemsim 2.0. Теперь Verilog и SUPERLOG код, исполняющийся на Systemsim может быть инкапсулирован в C/C++/SystemC программы. Это можно использовать для высокопроизводительной симуляции процессора или использования C/C++ для моделирования блоков, тестов, привязки архитектуры к реализации. Systemsim 2.0 работает под ОС Sun Solaris и Linux. Цена на Systemsim 2.0 - от $18,400 в год в случае 3-летнего контракта. Дополнительная информация : http://www.co-design.com http://www.superlog.org =================================================================== 4 июня 0-In продемонстрирует на DAC Assertion-Based Verification =================================================================== Методология ABV (Assertion-Based Verification) позволяет: - сократить время выхода на рынок - гарантировать полную верификацию - увеличить надежность выпуска корректного чипа - находить ошибки, пропущенные во время симуляции. Методология ABV предлагает разработчикам зафиксировать корректное поведение проекта в форме assertions и проверять эти assertions используя симуляцию и формальную верификацию на всех уровнях абстракции от блоков до системного уровня. Поскольку до 70% усилий разработчики тратят на верификацию, традиционной симуляции оказывается недостаточно. Библиотека чекеров 0-In вкключает верификационные мониторы для Infiniband, AGP, AMBA, PCI, PCI-X POS-PHY, UTOPIA, CSIX, SPI-4, HyperTransport, SDRAM, SRAM, DDR SDRAM. Обеспечена интероперабельность с Axis Systems Xtreme и Bridges2Silicon платой протипирования на базе FPGA. 0-In основана в 1996 году. Дополнительная информация : http://www.0-in.com =================================================================== 24 июня Esterel Technologies разрабатывает высокоуровневую методологию верификации для STMicroelectronics =================================================================== Использование Esterel Studio 4.0 ускоряет функциональную верификацию интеграции блоков проекта с CPU, поскольку блоки описываются на уровне сервисов (чтения/записи), а не на уровне сигналов. STMicroelectronics генерировала 1200 тестов за неделю с помощью Esterel Studio 4.0 - вручную за тот же период можно сделать не более 10 тестов. Esterel Studio 4.0 автоматически генерирует набор тестов на C/C++. Имеется также интерфейсы с ModelSim и CoWare N2C. Дополнительная информация : http://www.esterel-technologies.com ==================================================================== 7 октября Aldec обеспечивает поддержку тестовых C++ библиотек TestBuilder фирмы Cadence ==================================================================== Пользователи Riviera теперь могут создавать тесты на C++, концентрируясь на требуемом поведении проекта, а не на деталях его реализации - что приводит к существенному сокращению временных затрат. Обеспечивается возможность повторного использования тестов. Для большинства сложных ASIC проектов уже не является целесообразной разработка тестов на языке VHDL или Verilog, поскольку в этом случае необходимо вычислять значения каждого сигнала в течение всего периода симуляции. Использование С++ позволяет работать на более высоком уровне абстракции. Компиляция С++ текстов в машинные коды инструментальной машины приводит также к ускорению симуляции. Использование Riviera IPT позволяет HDL-описания скомпилировать и загрузить в отладочное аппаратное обеспечение, а тесты исполнять на хост-машине, что вместе может ускорить процесс разработки в 1000 раз. C++ интерефейс обеспечивает доступ к библиотекам TestBuilder, используемым для моделирования памяти, очередей, FIFO и других часто используемых проектных структур. Они взаимодействуют с симулируемыми HDL-моделями посредством оптимизированного интерефейса CHPI, исключая потребность в использовании интерфейсов Verilog (PLI) или VHDL (VHPI). Riviera поставляется на основе плавающей лицензии для ОС UNIX, Windows и Linux. Riviera включает: VHDL/Verilog симулятор, поддерживающий IEEE VHDL 1076-87/93 и Vital 2000, а также Verilog 1376-95 и 2001; Code Coverage, Design Profiler и интерфейсы со всеми ведущими EDA инструментами. Бесплатную оценочную копию Riviera можно получить с сайта http://www.aldec.com/riviera TestBuilder 1.0 распространяется вместе с исходными текстами на сайте: http://www.testbuilder.net 9.3. Средства формальной верификации ==================================================================== 15 июля Microwave Division фирмы Siemens Italy выбрала SpyGlass ==================================================================== SpyGlass фирмы Antrenta обеспечивает мощный предсказательный анализ, упрощая поиск ошибок в проектах и сокращая сроки их верификации. Дополнительная информация : http://www.atrenta.com ==================================================================== 15 июля Sunplus выбрала Verplex для верификации всех своих чипов ==================================================================== Основной используемый продукт - Conformal Logic Equivalence Checker (LEC). LEC уже помог Sunplus найти несколько серьезных ошибок, чем сэкономил значительно и время, и средства. Sunplus - это тайваньская фирма, основана в 1990 году. В 2001 году Sunplus вошла в мировую двадцатку лучших "fabless design house". Verplex Systems Inc. основана в 1997 году - разрабатывает средства формальной верификации. Дополнительная информация : http://www.sunplus.com.tw http://www.maxfun.com http://www.verplex.com 9.4 Обобщения и выводы Верификация - процесс поиска и устранения логических ошибок проектирования занимает от 50 до 70 ресурсов выполнения проекта. Языки и средства верификации находятся еще в стадии становления. Имеется особая потребность в верификационных компонентах для сложных IP компонент. 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС -------------------------- 2002 год ------------------------------- ==================================================================== 6 марта ChipScope Pro фирмы Xilinx - новый отладчик для SOC ==================================================================== ChipScope Pro разработан специально для Xilinx Virtex-II FPGAs и обеспечивает гибкий анализ всех сигналов внутри чипа при работе SOC-системы на полной скорости, включая сложные транзакции на шинах. Пользователь может вставить полностью параметризуемое ядро IBA (Integrated Bus Analysis) непосредственно в свой проект и получить полный доступ к своим шинам, не реализуемый при традиционных подходах. Затем ChipScope Pro обеспечивает анализ событий на шине в виде временных диаграмм. ChipScope Pro - это естественное развитие средств ChipScope ILA, который включал такие возможности как: переключаемые входы, устройства сравнения, последовательности переключения состояний, расширенная память данных. Программное обеспечение ChipScope интегрируется с аппаратным посредством стандартного порта JTAG. ChipScope Pro работает на ОС Windows 98/2000/NT. Цена - $995. Дополнительная информация : http://www.xilinx.com/chipscopepro ==================================================================== 5 мая Aldec увеличивает емкость аппаратной акселерации Riviera IPT до 12 миллионов вентилей ==================================================================== Riviera IPT поддерживает VHDL, Verilog и смешанные проекты, включает интерфейсы к C, Verisity Specman Elite и Synopsys Vera. Riviera IPT не требует модификации пользовательского проекта для выполнения аппаратной акселерации. Любой синтезируемый RTL-код может быть погружен в аппаратный акселератор Riveira IPT. По сравнению с симуляторами время на верификацию может быть сокращено с помощью Riviera IPT в 20-50 раз. И время выхода на рынок сокращается с месяцев до недель. Технология инкрементального прототипирования (Incremental Prototyping Technology) позволяет разработчикам верифицировать свой проект по блокам небольшого размера. Каждый блок вначале верифицируется встроенным симулятором, обеспечивающим полную видимость всех сигналов и полную отладку. Верифицированный модуль затем размещается в аппаратное обеспечение и остается связанным с остальной частью схемы, которая продолжает симулироваться. Используя запатентованную технологию, Riviera IPT обеспечивает коммуникацию между программными и аппаратными компонентами посредством шины PCI. В конце концов, весь проект перемещается в аппаратное обеспечение, и в RTL-симуляторе остается только тестбенч. Такой подход резко сокращает время верификации. Riviera IPT включает среду разработки, IEEE Verilog, VHDL симулятор, Synplify для логического синтеза, акселератор от Xilinx на базе двух FPGA Virtex II 6000. Система может быть сконфигурирована для UNIX, Linux и Windows NT/2000/XP. Riviera IPT v12000 доступен в двух конфигурациях - 12 миллионов вентилей и 3 миллиона вентилей. Дополнительная информация : http://www.aldec.com ==================================================================== 13 мая Active-HDL от Aldec теперь доступен с платой протипирования ==================================================================== Desktop Prototyping Board (DPB) - это первая программно-аппаратная связка, позволяющая пользователям проектировать, прототипировать, тестировать и верифицировать проект из единой среды под ОС Windows. DPB конфигрурируется под поддержку микросхем Altera и Xilinx, и исключает потребность изготавливать плату прототипирования, тестовое оборудование, сокращая также и время верификации. DPB может быть установлена непосредственно в компьютер инженера, не требует порта JTAG или дополнительного источника питания, что упрощает процесс установки. Такой новый способ тестирования проекта на функциональном уровне без изготовления тестовой платы обычно сокращает время верификации на 80%. DPB вместе с Active HDL - это идеальное средство для инженеров, использующих IP компоненты, поскольку такие компоненты могут быть интегрированны проект без замедления во время исполнения. Время верификации часто замедляется симуляцией ранее верифицированных IP-компонент на системном уровне. Active HDL + DPB позволяют инженерам загружать такие компоненты непосредственно в аппаратное обеспечение и исполнять в "железе". DPB универсальна и поддерживает любую смесь IP-компонент, включая EDIF и другие соответствующие форматы. DPB также позволяет пользователям тестировать функционирование IP-компонент размещенных в "железе". Аналогично DPB позволяет загружать в "железо" ранее выполненные проекты, ускоряя их исполнение и верифицируя их функционирование в архитектурах новых систем, исследуя как расположенные в железе части взаимодействуют с описанными на HDL компонентами. Основанное на механизме событий взаимодействие аппаратной части и HDL-симулятора обеспечивает, что бы результаты реализации были точно такими же как и в среде прототипирования. Инсталляция DPB в PC не требует никаких источников питания, портов, коннекторов. Инсталляция также проста, как и инсталляция графической карты, без опасности повредить какие-либо другие устройства. После установки DPB пользователь может продолжать использовать Active HDL, добавляя выгоды от работы с средством прототипирования-тестирования. Результаты из DPB могут анализироваться непосредственно в Active HDL Waveform Viewer, исключая потребность в логических анализаторах, генераторах сингалов, LED дисплеях. Все сигналы проекта могут быть помещены в Waveform Viewer и выходы DPB могут наблюдаться отдельно или вместе с программно построенными сигналами. Нет необходимости покупать что-то дополнительно, что бы увидеть результаты. Active HDL + DPB включает чипы от Altera или Xilinx, а также Design Verification Manager, которые обеспечивает распределение частей проекта между симулятором и FPGA чипом емкости до 1 миллиона вентилей, которая может быть увеличена в случае необходимости. Дополнительная информация : http://www.aldec.com =================================================================== 3 июня Aldec сотрудничает с Summit Design выпуская Riviera-Elite, мультиплатформную среду проектирования и верификации ASIC =================================================================== Стратегическая цель - поддержка ESL (Electronic System Level) с использованием языка программирования С и прототипирования аппаратного обеспечения. Riviera - это высокопроизводительный симулятор VHDL/Verilog. По мере роста сложности систем становится критичной потребность в высокопроизводительных средствах верификации, взаимодействующих с графическими средствами документирования и проектирования сверху-вниз. Visual Elite поддерживает графический и текстовый ввод VHDL, Verilog, C/C++, SystemC. Просле того, как проект введен в Visual Elite и верифицирован в Riviera, Visual Elite генерирует оптимизированный HDL код для ведущих логических и поведенческих средств синтеза. Riviera-Elite был оптимизирован для VHDL/Verilog с поддержкой стандартов PLI 1.0/2.0 и VHPI. Riviera-Elite работает под Sun Solaris 7 и 8, Linux (ядро 2.2), и Windows NT/2000/XP. Дополнительная информация : http://www.aldec.com ===================================================================== 23 сентября Actel и FS2 ускоряют отладку FPGA ===================================================================== Actel и First Silicon Solutions (FS2) анонсировали Configurable Logic Analyzer Module (CLAM) System для логического анализа в реальном времени микросхем семейств ProASIC и ProASIC Plus. CLAM System состоит из IP-компоненты OCI (On-Chip Instrumentation) - устройства, обеспечивающего коммуникацию с целевой FPGA и работающего под Windows программного обеспечения для управления и визуализации. CLAM System позволяет во время компиляции выбрать для анализа до 128 внутренних сигналов. Во время исполнения пользователь может наблюдать трассу и указывать условия переключения до 32 каналов. Цена на FS2 CLAM System - от $2,495 для версии с внутрикристальной поддержкой трассы и $3,495 для системы с вне-кристальной поддержкой трассы. Дополнительная информация : http://www.fs2.com http://www.actel.com 10.2. On-line - прототипирование ASIC =================================================================== 22 октября IKOS анонсирует программу iSave: "Эмуляция без 2001 капитальных вложений" =================================================================== Пользовтели могут подписаться на эмуляцию проектов сложностью от 3 до 15 миллионов ASIC вентилей сроком на 6 месяцев и выше и получить через Internet доступ к технологиям эмуляции IKOS. Цена - от $49,500 в месяц для проектов до трех миллионов вентилей. Скорость компиляции - до пяти миллионов вентилей в час. Производительность эмуляции до 2 МГц. Дополнительная информация : http://www.ikos.com ================================================================== 3 декабря Система эмуляции Celaro от Mentor Graphics становится 2001 стандартом для предприятий Alcatel в Канаде и Европе и будет использоваться как локально, так и удаленно ================================================================== Alcatel сделала свой выбор после длительного периода сравнительного анализа средств эмуляции. Celaro заменит существующие средства для разработки ASIC емкостью до 5 миллионов вентилей. Celaro выбран, потому что он обеспечивает более высокую производительность в локальном и удаленном режимах коллективной разработки. Кроме того, Celaro обеспечивает разделение ресурсов в глобальной сети разработчиков. Новая система Celaro (размещенная в Канаде), вместе с имеющейся Celaro системой (в Бельгии) создадут "ферму эмуляции" для разработчиков Alcatel, размещенных по всему миру. В дополнение к удаленному доступу, Celaro обеспечивает разделение ресурсов одной системы для многопользовательского паралельного использования. Alcatel использует удаленную эмуляцию и Celaro в своих Европейских центрах уже более 3 лет. Централизованные ресурсы использовались для верификации и регрессионного тестирования ASIC, а также для верификации полной системы, когда Celaro подключался к целевой системе. Celaro базируется на архитектуре CEOS ("Custom Emulator on Silicon"), совместимой с программной симуляцией и традиционными средствами отладки. Возможности компиляции проектов Celaro превышают возможности коммерческих FPGA-эмуляторов. Celaro - единственная система эмуляции, которая сегодня обеспечивает приемлемую производительность для сложных SoC-проектов. На Alcatel работают 110,000 человек в 130 странах. Дополнительная информация : http://www.alcatel.com ===================================================================== 19 февраля QLogic приобрела Quickturn Palladium для ускорения 2002 высокопроизводительной симуляции. ===================================================================== - для верификации и отладки оптоволоконных свичей, адаптеров и переключателей. QLogic ранее успешно использовала QuickCycles - среду удаленного доступа к среде верификации Quickturn с оплатой по принципу "pay-as-you-go". Объединяя программные приложения, аппаратную эмуляцию и стимулы, генерируемые сетевыми тестерами в единую среду разработки можно существенно ускорить разработку сетевых чипов и целых систем, за счет более раннего начала верификации. Дополнительная информация : http://www.quickturn.com http://www.cadence.com ==================================================================== 20 мая Alatek анонсирует COMULATOR N2.1 - новый сетевой эмулятор 2002 ==================================================================== COMULATOR - первый и единственный (по мнению руководства Alatek) EDA продукт содержащий "три в одном": эмулятор, ко-верификатор, акселератор симуляции. COMULATOR может быть использован со всеми HDL симуляторами, всеми языками (VERILOG, VHDL, C и др.) и всеми платформами (UNIX, LINUX, NT). COMULATOR разработан для поддержки сетевой командной верификации и разделения ресурсов. Производительность эмуляции - от 100k cps до 100 MEGA cps, что в миллион раз быстрее чем при симуляции микропроцессоров и в 1000 раз быстрее чем при использовании С-моделей. COMULATOR может быть использован для проектов размером до 12 миллионов ASIC вентилей, содержащих процессоры ARM, MIPS или Power PC. Каждый COMULATOR N2.1 включает одну EMA (Emulator for Multiple Applications) реконфигурируемую плату прототипирования, один HES 2.0 акселератор, и DVM 2.1 (Design Verification Manager) программное обеспечение. Цена COMULATOR N2.1 - от $60,000. Alatek основана в 1996 году. Дополнительная информация : http://www.alatek.com ==================================================================== 6 августа Texas Instruments выбрала систему эмуляции Palladium 2002 от Cadence для децентрализованной производительной верификации ==================================================================== Возможны оба варианта - исполнение на средствах Cadence или на средствах покупателя, но через сайт. Palladium обеспечивает симуляцию, акселерацию и внутрисхемную эмуляцию - до 16 миллионов вентилей, и 8 Гбайт памяти. В третьем квартале планируется расширение - до 128 миллионов вентилей и 64 Гбт памяти. Программа QuickCycles EX может быть принята пользователем на срок от 3-х до 36 месяцев по цене от $15,000 за миллион вентилей в месяц. Дополнительная информация : http://www.quickturn.com/about http://www.cadence.com. http://www.ti.com/sc/rd/devcon8 ===================================================================== 16 сентября Alatek выпустла новый эмулятор ASIC на 3 миллиона 2002 вентилей - COMULATOR-3M - по цене $99,600 ===================================================================== COMULATOR-3M - компактный эмулятор, с емкостью до 15 миллионов ASIC-вентилей, работает на частоте 20-33 Мгц. Для удобства пользователей распространяется вместе с рабочей станцией SUN или высокопроизводительной PC-платформой. COMULATOR-3M работает под UNIX, LINUX и NT/XP, поддерживает популярные средства симуляции и синтеза, такие фирм как Synopsys, Cadence, Mentor и Aldec. COMULATOR-3M поддерживает работу в сети. Alatek обеспечивает учебные курсы по работе с COMULATOR-3M. Дополнительная информация : http://www.alatek.com 10.3. Персональные средства для прототипирования ASIC ----------- 2001 -------------------------- =================================================================== 8 октября IKOS Systems объявляет репликацию эмуляции для VStation =================================================================== VStation-5Mx Replicate Station умножает мощь эмуляции за счет параллельных методологий верификации. Пользователи могут создать столько репликаций, сколько им нужно для максимальной производительности. IKOS намерена обеспечить репликацию для VStation-15M в начале 2002 года. Возможно совместно моделировать высокоуровневые представления на рабочей станции и проект на VStation, передавая между ними информацию с помощью специального высокоскоростного интерфейса. Дополнительная информация : http://www.ikos.com ==================================================================== 18 октября Siroyan выбирает Quickturn для эмуляции своих проектов ==================================================================== Siroyan начала свою программу OneDSP в июне 1999 года, став первым поставщиком IP компоненты DSP. Уникальная архитектура OneDSP объединяет масштабируемую высокопроизводительную DSP-обработку с RISC функциональностью и элементами управления памяти на одном чипе. В марте 2001 года Siroyan выбрала MercuryPlus для интеграции со своими средствами разработки, об успешном завершении которой теперь объявлено. Дополнительная информация : http://www.siroyan.com http://www.quickturn.com http://www.cadence.com =================================================================== 22 октября IKOS продала много эмуляторов VStation-15M в NVIDIA =================================================================== NVIDIA Corporation - глобальный лидер в создании средств обработки графики и мультимедиа для потребительского и профессионального рынка. VStation-15M - эмулятор 4-го поколения от IKOS, обеспечивает эмуляцию на частоте до 2Мгц проектов размером до 15 миллионов ASIC вентилей с возможностью наблюдать все внутренние и внешние сигналы в любой момент времени. Дополнительная информация : http://www.ikos.com =================================================================== 26 октября Redux Communications использует Xtreme фирмы Axis =================================================================== Redux (Israel), основанная в ноябре 1999 года, разрабатывает SOC-платформу обработки IP-пакетов. Разработанный Redux процессор RX-100 - это высокоинтегрированный сетевой процессор. Для его верификации Redux использовала эмулятор Xtreme и ПО IP Builder фирмы Axis, произведя окончательный этап верификации на реальном трафике и исполняя свое ПО на сетевом процессоре до его реализации в кремнии. ПО - это ОС на модуле ARM9. Дополнительная информация : http://www.reduxcom.com http://www.axiscorp.com =================================================================== 5 ноября IKOS Systems поставляет Alcatel свой эмулятор VStation-15M =================================================================== Alcatel - один из ведущих разработчиков телекоммуникационных систем обработки и передачи данных, голоса и видео - выбрала VStation-15M (для эмуляции проектов до 15 миллионов вентилей) для совместной верификации программного и аппаратного обеспечения своих разработок. Дополнительная информация : http://www.ikos.com http://www.alcatel.com =================================================================== 29 ноября Micronas выбирает эмулятор Xtreme от Axis Systems =================================================================== Micronas (Freiburg, Germany) выбирает Xtreme для обеспечения верификации своих проектов для цифрового телевидения. Micronas оценивала несколько вариантов, выбор пал на Xtreme по следующим причинам: технология RCC (ReConfigurable Computing) хорошо интегрируется в существующие процессы проектирования на Micronas, и, кроме того, Xtreme имеет набор отладочных возможностей, отсутствующих в других системах, например, VCD-on-Demand и "hot swapping". VCD-on-Demand сохраняет историю симуляции для всего проекта, исключая потребность в пересимуляции в случае появления ошибок, что экономит значительное количество времени. Hot Swapping позволяет проектировщикам переключаться между эмуляцией, ускоренной симуляцией и программной симуляцией, экономя время благодаря общей базе данных и единой среде отладки. Дополнительная информация : http://www.micronas.com http://www.axiscorp.com ================================================================== 4 декабря Multilink Technology Corporation приобрела систему верификации проектов Palladium фирмы Quickturn для ускорения симуляции некоторых сетевых проектов. ================================================================== Говорит Christopher Tice (Cadence): "Компании, которые проектируют чипы для высокопроизводительных сетевых приложений сталкиваются с большим количеством вентилей в проекте, чрезвычайно большим временем симуляции и сложными протоколами, что приводит к большому количество циклов верификации для доказательства работоспособности чипа." В дополнение к ко-симуляции и ускорению симуляции, Palladium может быть использован для внутрисхемной эмуляции чипа или всей системы в реальной среде до изготовления самого чипа. Quickturn имеет уникальную среду верификации сетевых проектов, которая может быть использована для их отладки используя стимулы, основанные на всемирных стандартных данных из реального мира. Palladium работает на платформах HP-UX, IBM-AIX, Sun Solaris. Palladium может быть использован удаленно на базе почасовой оплаты (pay-as-you-go). Дополнительная информация : http://www.quickturn.com http://www.cadence.com --------------------- 2002 год ------------------------------------ ===================================================================== 12 февраля Vweb выбрала средства верификации от Axis для ускорения разработки нового MPEG CODEC ===================================================================== Vweb произвела апгрейд Xcite до Xtreme+PCI Exchange. Цель - обеспечить эмуляцию для сокращения выхода на рынок MPEG-1&2&4 A/V CODEC, позволяя вести параллельно отладку разработчикам и программного и аппаратного обеспечения. Xtreme базируется на запатентованной Axis RCC (ReConfigurable Computing) технологии, которая позволяет программную симуляцию, аппаратно-ускоренную симуляцию, внутрисхемную эмуляцию, и HW/SW совместную верификацию на единой платформе и с единой базой данных. PCI Xchange - это плата эмуляции, которая обеспечивает прямой интерфейс между целевой PCI платой и проектом, исполняемым на Xtreme. Vweb основана в январе 1998 года, нацелена на компрессию/ декомпрессию и передачу аудио и видео- информации. Дополнительная информация : http://www.vwebcorp.com http://www.axiscorp.com ===================================================================== 20 февраля NVIDIA купила Xtreme фирмы Axis для ускорения разработки своих новых графических 3D процессоров ===================================================================== NVIDIA хочет бесшовно переходить от ускоренной симуляции к внутрисхемной эмуляции. NVIDIA купила четыре системы Xtreme. Xtreme масштабируема и может эмулировать чрезвычайно сложные проекты. Дополнительная информация : http://www.axiscorp.com ==================================================================== 4 марта IKOS Systems включила в эмулятор VStation поддержку eCelerator фирмы Verisity ==================================================================== Результат - интеграция Specman Elite и высокопроизводительной эмуляции. IKOS обеспечил интеграцию Specman Elite не только с эмулятором VStation, но и с симулятором NSIM. eCelerator - это средство ускорения тестирования, которое позволяет интегрировать Specman Elite с высокопроизводительной верификацией, основанной на эмуляции. eCelerator синтезирует и ускоряет тесты, написанные на языке верификации e, за счет их исполнения на VStation примерно в 15-25 раз. Синтезируются такие высокоактивные части тестов как BFM (Bus Function Models), мониторы, чекеры данных и протоколов. eCelerator использует преимущества технологии совместного моделирования IKOS, которая обеспечивает быстрый интерфейс между эмулятором VStation и Specman Elite. Фундаментом этого высоко - производительного интерфейса является IKOS Transaction Interface Portal (TIP), первый в индустрии быстрый интерфейс между рабочей станцией и эмулятором, который оптимизирован под обработку транзакций. Транзакции, в отличие от синхронных событий, сокращают поток информации между ПО, исполняемым на рабочей станции и проектом, исполняемым на VStation. Это увеличивает производительность исполнения тестов в 50 раз по сравнению с чисто программной симуляцией. Эмулятор VStation фирмы IKOS - это платформа для верификации SOC, которая предлагает интерфейс, подобный интерфейсам систем симуляции, простую в использовании эмуляцию, высокопроизводительную совместную верификацию посредством TIP, полностью интегрированнные средства компиляции и отладки RTL. С помощью VStation можно верифицировать сложные системы - до 15 миллионов вентилей, оперируя на частоте 2 Мгц. Поставляются также запатентованные IKOS компилятор VirtuaLogic и технология VirtualWires, упрощающие моделирование памяти. Обеспечивается точное моделирование асинхронных событий, полностью автоматические разбиение на части и компиляция, 100% видимость внутренних и внешних сигналов, масштабируемая емкость и стоимость эмулятора. Все это вместе обеспечивает эффективную совместную отладку программного и аппаратного обеспечения. Дополнительная информация : http://www.verisity.com http://www.ikos.com ==================================================================== 4 марта Mentor Graphics добавит в свой эмулятор Celaro поддержку eCelerator фирмы Vericity ==================================================================== Интеграция eCelerator и Celaro резко повышает производительность верификации, обеспечивая высокое качество процесса отладки. Говорит Philippe Magarshack (STMicroelectronics): "Такая методология позволит ускорить переход от симуляции к эмуляции, экономя недели, а возможно и месяцы, на критическом пути создания наших сложных SOC систем". Specman Elite взаимодействует с Celaro посредством разработанного Mentor Graphics CTI (Celaro Transaction-based Interface). Этот интерфейс устраняет узкое место - использование программного симулятора для присоединения Specman Elite к эмулятору Celaro. CTI предлагает несколько коммуникационных уровней - от событий или сигналов к более абстрактным уровням, таким как шинный протокол, транзакции пакетов и фреймов. Реализация вышеизложенного в промышленном варианте предполагается в 3-м квартале 2002 года. Дополнительная информация : http://www.celaro.com http://www.verisity.com http://www.mentor.com ==================================================================== 4 марта Axis Systems разработала первую и единственную платформу ускорения и эмуляции, нейтральную по отношению к языкам ==================================================================== Новые версии Xcite и Xtreme позволяют симулировать, ускорять и эмулировать SOC проекты на языках Verilog, VHDL и обоих. Xcite и Xtreme базируются на запатентованной Axis технологии RCC (reconfigurable computing). RCC сопроцессоры могут быть легко сконфигурированы на обработку любого языка (Verilog или VHDL) на любом уровне абстракции (вентильном, регистровом, поведенческом). Первые версии Xcite и Xtreme поддерживали только Verilog, а теперь Axis добавила и VHDL в ответ на пожелания пользователей. RCC обеспечивает такие мощные отладочные возможности как VCD-on-Demand и Hot Swapping. VCD-on-Demand сохраняет историю симуляции всего проекта, исключая потребность в пере-симуляции в случае обнаружения ошибок. Hot Swapping - переключение между программной симуляцией, ускоренной симуляцией и эмуляцией дает разработчикам гибкость отладки в известной программной среде сохраняя преимущества ускорения и эмуляции в смысле производительности. Новые версии Xcite и Xtreme будут доступны в третьем квартале 2002 года. Цена - $50,000. Апгрейд от старых версий - $10,000. Fujitsu использует Xcite c 1999 года. Philips Semiconductors использует Xcite. Micronas, ST Microelectronics используют Xtreme. Дополнительная информация : http://www.axiscorp.com ==================================================================== 8 марта Axis Systems представит "Детальный взгляд в HW/SW ко- верификацию" на Embedded Systems Conference ==================================================================== Axis Systems предлагает высокопроизводительную платформу верификации программного и аппаратного обеспечения сложных электронных систем и SOC. На одной платформе с единой базой данных проекта, запатентованная технология RCC (reconfiguring comuting) обеспечивает симуляцию, ускоренную симуляцию, эмуляцию и HW/SW ко- верификацию. Пользователи продуктов Axis Systems - ведущие сетевые и мультимедиа компании. Дополнительная информация : http://www.axiscorp.com ==================================================================== 11 марта IKOS Systems анонсирует эмулятор VStation-15Mx ==================================================================== VStation-15Mx (дальнейшее развитие VStation-5Mx) обеспечивает совместную отладку программного и аппаратного обеспечения емкостью до 15 миллионов вентилей (с ценой 7 центов на ASIC вентиль). Совместная отладка осуществляется следующим образом: абстрактные модели системы исполняются на рабочей станции, а аппаратная часть на VStation, IKOS обеспечивает высокопроизводительный интерфейс между ними. VStation-15Mx Replicate может использовать одну базу данных проекта для одновременной работы на нескольких VStation-15Mx. Это обеспечивает разработанная IKOS технология VirtualWires. Цена VStation-15Mx - $90,000. Дополнительная информация : http://www.ikos.com ==================================================================== 22 марта Hughes Network Systems лицензировала Xcite фирмы Axis чтоб ускорить разработку мульи-милионно-вентильных сетевых чипов следующих поколений ==================================================================== Xcite, базирующийся на запатентованной Axis технологии RCC (ReConfigurable Computing), имеет единую базу данных для использования на всех этапах разработки: программная симуляция, аппаратно-ускоренная симуляция, программно-аппаратная ко-верификация. Hughes Network Systems, Inc. это подразделение Hughes Electronics Corporation, которая инсталлировала более 500,000 систем в 85 странах. Дополнительная информация : http://www.axiscorp.com http://www.hns.com ====================================================================== 8 апреля TransEDA ускоряет верификацию SOC, выпуская VN-Cover Emulator - первый в индустрии анализатор покрытия по результатам эмуляции ====================================================================== Поскольку эмуляция - дорогое удовольствие - разработчики стремяться сократить ее до минимума - при этом обеспечивая нужное качество разработки. VN-Cover Emulator дает объективную информацию для принятия решений. VN-Cover Emulator поддерживает эмуляторы Xcite и Xtreme фирмы Axis Systems, VStation фирмы IKOS Systems, CoBALT и CoBALT Plus фирмы Quickturn (подразделение Cadence). VN-Cover обеспечивает слияние результатов покрытия при симуляции и эмуляции. Цена на VN-Cover Emulator - от $50,000 за годичную лицензию. Дополнительная информация : http://www.transeda.com ====================================================================== 10 апреля MediaTek выбрала Axis Xtreme для ускорения разработки мультимедиа чипов новых поколений ====================================================================== MediaTek (Тайвань) - fabless - компания, основанная в 1997 году, приобрела (первая в Тайване) Axis Xtreme и использовала его при разработке первого DVD чипа. Цикл верификации стал в 100 раз быстрее, чем при использовании программных симуляторов. Руководители MediaTek посчитали, что рынок мультимедиа устройств чрезвычайно перспективный и соревновательный, и потому сокращение времени выхода на рынок новых продуктов критично для MediaTek. Используя Xtreme для верификации MediaTek может существенно сократить время верификации. Достоинством Xtreme является также простота в обучении. Инженеры MadiaTek перенесли свой проект в Xtreme менее чем за неделю. Xtreme базируется на запатентованной Axis технологии RCC (ReConfigurable Computing), которая позволяет программную симуляцию, ускоренную симуляцию, внутрисхемную эмуляцию, и совместную отладку программного и аппаратного обеспечения на одной платформе и с единой базой данных проекта. Дополнительная информация : http://www.mtk.com.tw http://www.axiscorp.com ==================================================================== 8 мая Xcite фирмы Axis Systems сократил сроки разработки нового мультимедийного процессора фирмы WIS Technologies ==================================================================== По сравнению с прежними средствами прототипирования на базе FPGA, на которое требовались месяцы, Xcite обеспечил выполнеие работы за неделю. WIS смогла отлаживать одновременно программное и аппаратное обеспечение. Продукты верификации от Axis базируются на запатентованной технологии RCC (ReConfigurable Computing), которая состоит из единой системы с унифицированной базой данных используемой для программной симуляции, акселерации, эмуляции, и программно-аппаратной коверификации. Дополнительная информация : http://www.axiscorp.com ==================================================================== 13 мая Mentor Graphics выпускает 5-ое поколение эмуляторов VStation-30M ==================================================================== VStation-30M обеспечивает емкость до 30 миллионов ASIC вентилей и производительность до 2 МГц. VStation-30M обеспечивает 100% наблюдаемость сигналов в любой момент времени. VStation-30M продается с июня 2002 года по цене от $1,375,000. Дополнительная информация : http://www.mentor.com ==================================================================== 20 мая Axis Systems использует самые большие в мире FPGA от Xilinx, чтобы сделать самую эффективную систему верификации ==================================================================== Xtreme-II - новая система верификации от Axis Systems, построенная на основе Virtex-II от Xilinx, позволяет разработчикам одновременно симулировать, аппаратно ускорять и эмулировать свои SoC. Xtreme-II в 20 раз более компактная, чем ее конкуренты. Xtreme-II прозволяет разрабатывать проекты до 50 миллионов вентилей в стандартном варианте и до 100 миллионов вентилей - в расширенном варианте. Он включает 2 гигабайта памяти, так что разработчики могут исполнять практически любые приложения. Xtreme-II работает на частоте до 1 Мгц. Дополнительная информация : http://www.axiscorp.com http://www.xilinx.com ==================================================================== 22 мая Tvia ускоряет верификацию следующих поколений мульти-медиа чипов CyberPro с помощью Xtreme от Axis Systems ==================================================================== Процессоры CyberPro объединяют множество независимых потоков аудио, видео, текста, графики и анимации и обеспечивают высококачественный вывод их на экран телевизора. Использование Xtreme позволит быстрее верифицировать разработки и тем самым сократить время выхода на рынок. Xtreme базируется на запатентованной Axis технологии RCC (ReConfigurable Computing), которая обеспечивает такие мощные отладочные возможности как VCD-on-Demand и hot swapping. VCD-on-Demand сохраняет историю симуляции для всего проекта, устраняя необходимость в пере-симуляции при обнаружении ошибки. Hot swapping - переключение между программной симуляцией, аппаратной акселерацией и эмуляцией. Дополнительная информация : http://www.axiscorp.com ==================================================================== 22 мая Axis Systems выпускает самую эффективную в мире систему верификации ==================================================================== Xtreme II симулирует, аппаратно ускоряет и эмулирует до 100 миллионов вентилей, предлагает до двух гигабайт памяти и работает на частоте до 1 Мгц. Xtreme-II в 20 раз меньше чем другие системы эмуляции. Дополнительная информация : http://www.axiscorp.com =================================================================== 3 июня Quickturn анонсирует IP Program для обеспечения высоко- производительной среды ко-верификации программного и аппаратного обеспечения SoC-проектов =================================================================== Эта программа - часть Cadence Design Chain Initiative, цель которой - налаживание более тестных связей между различными поставщиками EDA средств. Как часть этой инициативы Quickturn разработала новую IP-карту, которая способна взаимодействовать с новейшими корпусами с большим количеством контактов. Это позволяет взаимодействовать FPGA, DSP, встроенным процессорам и другим IP-компонентам с остальной частью проекта посредством IP chassis системы верификации Palladium. Каждый IP chassis может включать до 6 IP-карт и поддерживать до 3,512 I/O контактов. Эта возможность позволяет интеграцию пре-верифицированных, hard IP компонент в SoC-проект для ускорения верификации. ARM и TriMedia - первые участники программы, уже выпустили соответствующие преверифицированные IP-карты и ПО для отладки. Говорит Sunil M. Sanghavi (CEO, TriMedia Technologies): "Мы использовали Quickturn для верификации нашего VLIW процессора TM32A и периферийных устройств с нашей средой разработки ПО. Quickturn Video и PCI SpeedBridge позволили тестировать нашу разработку используя реальные аудио- и видео- сигналы." Говорит Mark Samuel (Philips Semiconductors): "Являясь пользователями TriMedia и Quickturn мы получили значительную выгоду эмулируя процессоры TriMedia в среде верификации от Quickturn. Мы смогли верифицировать наше программное и аппаратное обеспечение, исполняя диагностику, операционную систему, аудио и видео приложения, взаимодействующие с чипом ДО его изготовления. Когда чип появился, потребовались минимальные изменения в ПО для переноса его на чип, поскольку функциональные ошибки уже были исправлены в процессе эмуляции." Во второй половине 2002 года Quickturn будет поставлять Palladium IP Cards для IP-компонент ARM и Trimedia. Программное обеспечение будет поставляться фирмами ARM и TriMedia соответственно. Дополнительная информация : http://www.quickturn.com http://www.cadence.com =================================================================== 3 июня Quickturn анонсировала новые конфигурации Palladium и ПО, поддерживающие разработку проектов размером от 2 до 128 миллионов ASIC-вентилей =================================================================== Поддерживаются также до 64 Гбайт ОП и более 8,000 физических I/O контактов для взаимодействия с целевой системой. Ключем к повышенной производительности Palladium является новый высокоскоростной канал между рабочей станцией и Palladium. Улучшения ПО включают более тесную интеграцию с Cadence NC-Sim, Cadence TestBuilder и Verisity Specman Elite. Также новинкой является и стандартизованная карта для IP-компонент, которая связывает физически IP-компоненты с IP-chassis от Palladium для обеспечения аппаратной акселерации и внутрисхемной эмуляции. Уже имеются IP-карты с IP-компонентами от ARM и TriMedia Technologies, которые позволяют совместную верификацию и тестирование соответствующих программно-аппаратных систем. Новые конфигурации Palladium доступны как для покупки, так и для удаленного использования в рамках программы QuickCycles EXtended Access. Говорит Mike Knudsen (QLogic Network Storage Group): "В последние 72 часа мы выполнили 195 регрессионных тестов - всего 2.59 миллиардов циклов. В нашей предыдущей методологии это могло занять многие месяцы. Нам настолько понравилась работа с Palladium, что в декабре 2001 года мы ее купили." Говорит Rafael Gutierrez (S3): "Palladium позволяет нам верифицировать и отлаживать наши BIOS и драйверы, исполняя 2D/3D WinBench, Speedy и 3D игры." Цена на аренду Palladium - от $15,000 до $18,000 за месяц. Дополнительная информация : http://www.quickturn.com http://www.cadence.com =================================================================== 5 июня Sun Microsistems купила систему верификации Xtreme фирмы Axis Systems =================================================================== Sun намерена использовать Xtreme при разработке своих микро- процессоров следующих поколений. Xtreme обеспечивает аппаратную акселерацию, интегрированную с отладкой. Это дополнит технологии Sun, использующие компьютерные фермы при симуляции процессоров. Особенно понравились разработчикам из Sun такие свойства Xtreme как "VCD-on-Demand" и "hot swapping". VCD-on-Demand сохраняет исмторию симуляции для всего проекта, исключая потребность в пересимуляции в случае обнаружения ошибок. Hot swapping - переключение от программной симуляции к аппаратной акселерации и эмуляции - дает разработчикам гибкость отладки своих проектов в известной программной среде отладки используя преимущества акселерации и эмуляции. Дополнительная информация : http://www.axiscorp.com =================================================================== 10 июня VN-Cover Emulator фирмы TransEDA теперь доступен для системы верификации Quickturn Palladium =================================================================== VN-Cover Emulator - средство анализа покрытия проекта тестами, использующее систему верификации, обеспечивающую ускоренную симуляцию и эмуляцию. Цена VN-Cover Emulator для Quickturn Palladium, CoBALT, CoBALT Plus - от $50,000 за годичную лицензию. Дополнительная информация : http://www.transeda.com ==================================================================== 30 июля Synplicity и Flextronics намерены создать плату прототипирования для высокопроизводительной верификации ASIC ==================================================================== Дополнительная информация : http://www.synplicity.com http://www.flextronics.com/design ==================================================================== 7 августа Silicon Access Networks выбрала Xtreme фирмы Axis Systems для верификации своих сетевых процессоров следующих поколений ==================================================================== iPP Packet Processor - 20 гигабитный пакетный процессор имеет более 7 миллионов вентилей и 18 миллионов битов памяти - это флагман семейства iFlow пакетных процессоров - и он более чем в два раза быстрее любого из конкурентов на рынке сегодня. Во время проектирования Silicon Access использовала Xtreme для симуляции, акселерации и внутрисхемной эмуляции. Во всех трех фазах разработки Xtreme обрабатывал все уровни модельных абстракций - вентильный, регистровых передач, поведенческий Verilog; C модели; и Verisity Specman модели. Для верификации функциональности чипа Silicon Access присоединила Xtreme к PC, исполняющему Linux посредством PCI Xchange. Xtreme исполнял миллионы циклов симуляции - в 1000 раз быстрее чем самые быстрые средства симуляции. После запуска чипа на производство, Silicon Access продолжала использовать Xtreme для верификации всех тестов, которые нужны для оценки реального чипа. Работы была закончена к моменту изготовления чипа. "Мы смогли верифицировать рабочие образцы менее чем через 4 недели после их поступления. Все работало в соответствии с проектом, повторного изготовления не потребовалось. Большой плюс - ответственость сотрудников Axis на всех уровнях." Дополнительная информация : http://www.siliconaccess.com http://www.axiscorp.com ==================================================================== 14 августа 0-In и Axis Systems повышают производительность верификации ==================================================================== 0-In assertions отображаются в Xcite и Xtreme фирмы Axis и используются при эмуляции, которая увеличивает производительность верификации в 1000 раз. Это обеспечивается объединением технологий: (Assertion Processor технология фирмы Axis) и ABV (Assertion Based Verification) фирмы 0-In. Технология Axis Assertion Processor отображает библиотеку CheckerWare и мониторы от 0-In в RCC(ReConfigurable Computing)- компоненты. Обратная передача обнаруженных нарушений возможна благодаря основанной на событиях, управляемой системе прерываний, реализованной Axis. 0-In была основана в 1996 году. Дополнительная информация : http://www.0-in.com. http://www.axiscorp.com ==================================================================== 19 августа Axis Systems анонсирует Assertion Processor технологию ==================================================================== Assertion Processor позволяет разработчикам инкорпорировать assertions в среду эмуляции, сверяя в ходе эмуляции реальные значения с предполагаемыми. На сегодня существует 4 подхода к вставке assertions: - использование библиотеки Verilog модулей для мониторинга - процедурные утверждения, использующие конструкцию Verilog assert - языки формальной верификации - assertion-директивы в комментариях Наиболее частый способ использования assertions сегодня - декларативные assertions в Open Verification Library (OVL) - бесплатной библиотеке мониторинга assertions состоящей из Verilog модулей, легко встраиваемых в проект : www.verificationlib.org Axis обеспечивает отображение OVL в "железо". Axis предполагает в будущем поддержать System Verilog assertions. Axis работает с Synopsis, что бы поддержать OpenVera Assertions. 0-In заносит свои assertions в комментарии. Assertions включают две части: обнаружение и обработка ошибок. Assertion Processor уcкоряет обнаружение, исполняя проверку assertions в RCC на скорости аппаратного обеспечения. Аналогично микропроцессорным прерываниям, Assertion Processor получает прерывания, когда обнаруживается ошибка и активирует программную процедуру, которая выполняется на рабочей станции и имеет доступ к поведенческому коду, такому как $display или PLI. Assertions идеально подходит к технологии RCC. Поскольку RCC engine управляется событиями, он легко обрабатывает прерывания и возвращает информацию разработчику о том, что обнаружена ошибка. С помощью Assertion Processor контроль assertions осуществляется параллельно с исполнением проекта в Axis RCC engine. Дополнительная информация : http://www.verificationlib.org http://www.axiscorp.com ===================================================================== 23 сентября LSI Logic и FS2 анонсировали средства логического анализа для DSP-ядра ZSP500 ===================================================================== ISA-ZSP500 (In-target System Analyzer) состоит из IP компоненты OCI (On-Chip Instrumentation) и программного обеспечения для Windows, обеспечивающего управление и визуализацию. ZSP500 это суперскалярный DSP, который может исполнять до 4-х инструкций за такт. Блок OCI обеспечивает 3 различных режима измерений: - Execution Profile Trace - позволяет запомнить количество инструкций,которое исполнялось на каждом такте. Эта информация используется для переупорядочивания инструкций при желании обеспечить большую параллельность вычислений - что особенно важно для программистов, оптимизирующих важные алгоритмические циклы. - A->B timers - обеспечивает точное измерение времени между четырьмя помеченными точками программы. Это полезно для нахождения узких мест в производительности. - Performance Monitoring - может вычислять любой из 26 различных типов характеристик системы, таких как: общее количество инструкций, количество инструкций указанного типа, количество кеш-попаданий, количество циклов простаивания конвейера, количество операций загрузки и выгрузки и др. ISA-ZSP System будет доступна в ноябре 2002 года по цене $2,995 для внутрикристальной поддержки трассы и по цене $4,995 для системы с вне-кристальной поддержкой трассы. Дополнительная информация : http://www.fs2.com http://www.lsilogic.com ===================================================================== 30 сентября TransEDA анонсирует средства эмуляции (Emulation Edge), которые сокращают сроки верификации на 50% ===================================================================== Emulation Edge интегрирует 4 продукта: - VN-Check - VN-Cover - VN-Optimize - VN-Cover Emulator Цена - от $50,000 за годовую лицензию. Дополнительная информация : http://www.transeda.com/emulationedge ==================================================================== 1 октября TransEDA анонсирует Emulation Edge для ускорения верификации проектов ==================================================================== Emulation Edge представляет конфигурируемый HDL Checker, анализатор покрытия для симуляторов и эмуляторов, анализатор тестов в одном пакете с общим интерфейсом. Emulation Edge - это комбинация четырех средств верификации: VN-Check, VN-Cover, VN-Cover Emulator, VN-Optimize - по цене в два раза меньшей, чем при покупке всех этих продуктов по отдельности. Цена Emulation Edge - от $50,000 за годовую лицензию. Дополнительная информация : http://www.transeda.com/emulationedge ==================================================================== 7 октября Synplicity поставляет ключевую технологию Axis Systems ==================================================================== Система верификации Xtreme-II базируется на средствах синтеза от Synplicity и FPGA Virtex II, обеспечивая возможность симулировать, аппаратно ускорять и эмулировать проекты. Xtreme-II позволяет верифицировать проекты размером до 100 миллионов вентилей и до двух гигабайт памяти. Дополнительная информация : http://www.axiscorp.com http://www.synplicity.com ==================================================================== 16 октября Система верификации Xtreme фирмы Axis Systems выбрана фирмой Coolsand для разработки чипов переносных мультимедийных устройств ==================================================================== Behavioral Processor - это первая на рынке технология верификации, которая позволяет разработчикам ускорять и эмулировать не-синтезируемые поведенческие кода (VHDL, Verilog). Coolsand Technologies - fabless-компания, основанная в апреле 2002 года. Дополнительная информация : http://www.axiscorp.com 10.4. Обобщения и выводы Средства проектирования для ПЛИС нуждаются в более эффективных возможностях отладки. Средства прототипирования для ASIC в силу своей чрезвычайной дороговизны начинают продвигаться в направлении сетевого коллективного использования как внутри фирм-разработчиков электронных систем на приобретенном оборудовании, так и на условиях повременной оплаты на оборудовании фирмы - поставщика услуг по эмуляции. Наиболее продвинутые эмуляторы поддерживают разработку проектов до 100 и более миллионов вентилей. 11. Отладка программного обеспечения для микроконтроллеров 11.1. Разработки Applied Microsystems =================================================================== 5 ноября Applied Microsystems выпускает эмуляторы SuperTAP и 2001 CodeTAP для интегрированных коммуникационных процессоров MPC862 и MPC857T семейства PowerQUICC фирмы Motorola =================================================================== CodeTAP - это дешевый эмулятор, обеспечивающий наблюдение и модификацию ресурсов процессора. SuperTAP - высокоскоростной внутри-схемный эмулятор, обеспечивающий отслеживание шины, обнаружение событий, и дизассемблирование. Оба продукта поставляются вместе с MWX-ICE 4.4 - С/С++ отладчиком. Для PC-платформ цена CodeTAP+MWX-ICE составляет $4,450, а цена SuperTAP+MWX-ICE составляет $17,495. Дополнительная информация : http://www.amc.com =================================================================== 8 ноября Applied Microsystems анонсирует PowerTAP для 2001 IBM PowerPC 405CR =================================================================== Эмулятор PowerTAP поставляется совместно с отладчиком MWX-ICE 4.4 Цена PowerTAP для IBM PowerPC 405CR - $5,490. Дополнительная информация : http://www.amc.com =================================================================== 15 ноября Applied Microsystems выпускает эмулятор PowerTAP для 2001 микропроцессоров MPC7450 и MPC8245 фирмы Motorola, совместимых с архитектурой PowerPC =================================================================== PowerTAP - это ориентированный на архитектуру PowerPC эмулятор, интегрированный с C/C++ компилятором и отладчиком MWX-ICE 4.4. Цена PowerTAP для MPC7450 и MPC8245 - $5,490. PowerTAP поддерживает и другие семейства процессоров от Motorola, включая: MPC603x, MPC7xx, MPC74xx, и MPC82xx Дополнительная информация : http://www.amc.com ================================================================== 3 декабря Applied Microsystems продает CodeTEST 3.5 2001 ================================================================== CodeTEST - это система верификации программного обеспечения для встроенных цифровых систем. Версия 3.5 обеспечивает большую управляемость и гибкость при анализе производительности, большую точность при измерении времени. Point-to-Point Timers - позволяет пользователям устанавливать в своем коде маркеры начала и конца измерения времени при выполнении соответствующих фрагментов программ. Parent/Child Function Performance - позволяет измерять время только для "родительской" функции, или для "родительской" плюс вызываемых ею функций. Impact of ISRs позволяет оценить время на обслуживание ISR (interrupt service routines). CodeTEST 3.5 обеспечивает также возможность использовать скрипты для сокращения значительного времени и усилий на выполнение повторяющихся задач таких как: конфигурирование, инициализация, сбор данных и анализ результатов измерений. Цена CodeTEST 3.5 - от $4,000. Полное описание возможностей CodeTEST представлено на сайте компании. Дополнительная информация : http://www.amc.com ==================================================================== 5 марта Applied Microsystems обеспечивает эмуляцию интерированных 2002 коммуникационных процессоров MPC8250 PowerQUICC II фирмы Motorola ==================================================================== Power TAP II + MXW-ICE - интегрирует C/C++ отладчик с сетевым высокоскоростным внутрисхемным эмулятором. Новая технология System Browser позволяет разработчикам видеть, документировать и редактировать не только конфигурацию интегрированного коммуникационного процессора MPC8250, но также и всех отображенных на пмять периферийных устройств и ASIC компонент. Кроме того, Power TAP обеспечивает быструю загрузку и удаленную отладку посредством локальной сети Ethernet. Цена PowerTAP + MXW-ICE в связке - $7490. Дополнительная информация : http://www.amc.com ==================================================================== 7 марта Applied Microsystems анонсирует Power TAP следующего 2002 поколения для хост-процессора MPC7455 фирмы Motorola ==================================================================== MPC7455 - новый процессор, базирующийся на системе инструкций Power PC и работающий на частоте 1 Ггц. Достоинства Power TAP: - обеспечивает отладку программ непосредственно на целевой платформе в кеше, ROM и RAM - поддерживает кеши второго и третьего уровней (L2 и L3) - имеет связь с Ethetrnet для удаленного доступа - поддерживает компиляторы в систему инструкций Power PC (включая новые компиляторы Elf/Dwarf2) - поддерживает программирование флеш-памяти - имеет мощный скриптовый язык - интерирован с отладчиком MXW-ICE - цена установлена окупаемой в течение первых 20 часов работы - обеспечивает обзор всей карты памяти вплоть до уровня битов - базируется на технологии System Browser, введенной в октябре 2001 года, апробированой для процессоров MPC7400, MPC7410, MPC7450 - может использоваться совместно с NetROM и CodeTEST. NetROM помогает сетевым средствам ускорять цикл отладки загрузкой образов кодов без использования ресурсов целевой системы. CodeTEST - программное обеспечение для профилирования и трассирования исполнения исходных кодов, анализа потери памяти и покрытия кода. Цена PowerTAP + MXW-ICE для MPC7455 - $7490 Дополнительная информация : http://www.amc.com ====================================================================== 18 апреля Applied Microsystems выпустила PowerTAP для процессоров 2002 Motorola MPC8266, MPC8265, MPC8264 ====================================================================== PowerTAP - эмулятор/отладчик для процессоров семейства Power PC. PowerTAP делает ненужной работу с большим количеством книг или исходных текстов для дешифрации значений регистров процессоров и других отображенных на память компонент. В PowerTAP применена уникальная технология System Browser, которая объединила все необходимые сведения в один пакет и позволяет просматривать на битовом уровне назначение всех элементов памяти, имеется также возможность добавлять новые описания. PowerTAP и MWX-ICE (отладчик от Applied Microsystems) уникальные средства разработки, совместимые с широким набором компиляторов и хост-машин, поддерживается отладка программ в Cache, ROM и Flash. PowerTAP имеет встроенные тесты памяти и CPU, а также встроенный скриптовый язык для создания пользовательских встроенных тестов аппаратного обеспечения. Этот язык поддерживает операторы ANSI/C++, что позволяет создавать регрессионные тесты. PowerTAP обладает возможностью работать с целевой системой удаленно по сети Ethernet с максимальной скоростью. PowerTAP может эффективно взаимодействовать с CodeTEST - средой верификации, обеспечивающей сохранение трассы исполнения, анализ памяти и производительности, анализ покрытия исходного кода. Другие продукты от Applied - NetROM, CodeTAP, SuperTAP. Дополнительная информация : http://www.amc.com 11.2. И все остальные =================================================================== 1 октября Atmel выпустила новый JTAG ICE 2001 =================================================================== JTAG ICE (In-Circuit Emulator) представляет революционно-новый подход к отладке 8-битных МК, ранее применимый только к 32-битным CPU. Этот JTAG ICE предназначен для отладки систем на базе megaAVR на частоте от 16 КГц до 16 МГц и обеспечивает внутрисхемное программирование. Цена JTAG ICE существенно сокращена за счет переноса SRAM и схем эмуляции непосредственно на чип. JTAG ICE обеспечивает эмуляцию в реальном времени, в то время как МК функционирует в целевой системе. ODP (on-chip debug protocol) дает пользователю полный контроль за внутренними ресурсами megaAVR. Программную поддержку JTAG ICE обеспечивает AVR Studio. Цена JTAG ICE - $299. Дополнительная информация : http://www.atmel.com/atmel/acrobat/doc2475.pdf http://www.atmel.com/atmel/acrobat/doc2489.pdf ================================================================== 17 декабря XEMICS и Phyton выпустили внутрисхемный эмулятор для 2001 микроконтроллеров семейств XE8000 и Sensing Machines ================================================================== Этот внутрисхемный эмулятор содержит все что необходимо, интегрированно в среде: поддержка проектов, ассемблер, С-компилятор, симулятор, отладчик, линкер, и маленький заголовок эмуляции, 64К аппаратных точек останова программ и данных, интеллектуальный обработчик точек останова, 8 каналов для ввода информации, 4 канала вывода, буфер трассировки, программируемый генератор частоты. Дополнительная информация : http://www.xemics.com ==================================================================== 1 марта JTAG-эмулятор ARM Multi-ICE доступен для 2002 Windows CE Platform Builder ==================================================================== ARM Multi-ICE позволяет разработчикам портировать Windows CE на платформы на базе ARM процессоров, включая ARM720T, ARM920T, ARM926EJ-S, ARM1022E и Intel XScale. ARM Multi-ICE обеспечивает видимость целевой платформы после системного краха и позволяет отлаживать приложения не используя ethernet или другие дополнительные коммуникационные порты. ARM Multi-ICE может быть присоединен ко всем SOC, на основе процессоров ARM, которые содержат логику Embedded-ICE. Это позволяет запускать и останавливать ядро ARM под управлением Windows CE Platform Builder и обеспечивает исполнение загрузочного кода Windows CE. Это дает видимость состояния целевой платформы, включая наблюдение регистров сопроцессора и памяти приложения, используя отладочные возможности JTAG и отладочной архитектуры ARM. Эмулятор ARM Multi-ICE не искажает прикладное ПО, поскольку для него не требуется резидентный отладочный монитор. Это улучшение ARM Multi-ICE доступно бесплатно для пользователей Multi-ICE v2.1 по адресу http://www.arm.com/eXDI Дополнительная информация : http://www.arm.com ==================================================================== 5 марта Atmel выпускает внутрисхемный эмулятор ICE 50 2002 ==================================================================== ICE 50 поддерживает 8-битные микроконтроллеры megaAVR и tinyAVR. ICE 50 позволяет пользователю поставить неограниченное количество точек останова. Кроме традиционных точек останова по достижению указанной инструкции, ICE 50 имеет точки останова по данным, например когда параметр достиг указанной величины. В качестве интерфейса с пользователем используется AVR Studio и все другие средства разработки программ для AVR. Пользователь имеет полный доступ к RAM, EEPROM, Flash и регистровым файлам. Цена ICE 50 - $3,495. Дополнительная информация : http://www.atmel.com ==================================================================== 20 мая TI выпускает первый DSP эмулятор с двунаправленной 2002 передачей видеосигналов ==================================================================== JTAG эмулятор обеспечивает передачу данных между целевым процессором и хост-платформой со скоростью 2 мегабита в секунду и загрузку программ в целевой процессор со скоростью полмегабита в секунду. Кроме того, эмулятор поддерживает Advanced Event Triggering для отладки в реальном времени и максимального контроля пользователем над DSP и ARM процессорами. XDS560 - это PCI Bus JTAG Scan-Based эмулятор. Прежний эмулятор XDS510 позволял передачу данных на скорости 10-20 килобайт в секунду. Advanced Event Triggering позволяет определять и отлавливать сложные последовательности событий в реальном времени, не вмешиваясь в реальные процессы. Цена на XDS560 - $4,995. Уже приобрели и/или положительно оценили XDS560: Polaroid, Ericsson, Infinetix, APC, Ceyba, Ingenient, The Mathworks, MicroLAB Systems, BlackHawk, Kane Computing, Signum Systems Wintech Digital System Technology Corp. Дополнительная информация : http://www.dspvillage.ti.com/xds560pr http://www.dspvillage.ti.com/xds560pr2 11.3. Обобщение и выводы Отладка прикладного программного обеспечеиня сложных систем, особенно систем реального времени, невозможна без соответствующих эмуляторов, интегрированных с необходимым системным программным обеспечением (среда разработки: редактор, компиляторы, ассемблер, линкер, отладчик). Полнофункциональная разработка таких систем очень трудоемкий процесс. В то же время за счет обобщения свойств в унифицированные компоненты возможно сокращение сроков разработки эмуляторов, однако таких систем на рынке практически нет. 12. Обучение - ключ к продаже 12.1. Очные семинары ------------------------- 2002 год -------------------------------- ==================================================================== 1 апреля 39-я DAC включает в программу семинары интерактивного обучения верификации встроенных систем ==================================================================== Семинары будут проходить по 3 часа для первых 30 записавшихся человек (по 2 человека на ПК, цена - $40 на человека на один семинар) по следующим темам: - SynaptiCAD+Synopsys : разработка BFM для верификации встроенных ATM-свичей (BFM - Bus Function Model) - AXYS Design Automation+Denali Software+Mentor Graphics+Verisity: разработка и использование виртуальных прототипов для верификации встроенных систем - Co-Design Automation+Real Intent+ARM : использование assert-ов для совместной верификации программного и аппаратного обеспечения встроенных систем - CoWare+ARM : Интеграция программного и аппаратного обеспечения на платформе ARM Wireless PrimeXsys с использованием CoWare N2C Design System - Cadence Design Systems+Synplicity+Xilinx : верификация встроенных коммуникационных систем - Xilinx+Wind River : программные и аппаратные методы отладки для систем программируемой логики - Bridge es2Silicon+Altera : верификация встроенных систем Дополнительная информация : http://www.dac.com ====================================================================== 8 апреля Get2Chip, Plato, Silicon Perspective, Verplex проводят серию семинаров "Методы проектирования мульти-миллионно-вентильных проектов с использованием средств EDA следующего поколения" ====================================================================== Семинары бесплатны и ориентируют слушателей на иcпользование SOC. Дополнительная информация : http://www.bigchipdesign.com ====================================================================== 8 апреля Synchronicity CEO Dennis Harmon выступит с докладом "Методологии сотрудничества и лучшие практические примеры IP-разработки и SOC-проектирования" ====================================================================== Доклад состоится на Electronic Design Processes (EDP) Workshop. Дополнительная информация : http://www.eda.org/edps/edp02/ http://www.synchronicity.com ====================================================================== 17 апреля 9-ый IEEE/DATC Electronic Design Processes (EDP) Workshop состоится 21-23 апреля ====================================================================== EDP Workshop - форум для обсуждения проблем проектирования цифровых электронных систем. Основные тема в текущем году - взаимодействие между инструментами проектирования и разработчиками и средства поддержки такого взаимодействия. Руководитель Tera Systems прочтет пленарный доклад на этом форуме. Дополнительная информация : http://www.eda.org/edps/edp02 http://www.terasystems.com ====================================================================== 17 апреля Ixia, IKOS, IP Infusion и OSE Systems проведут серию совместных семинаров по проектированию телекоммуникационных систем ====================================================================== Семинары посвящены следующим вопросам: - средства от Ixia для анализа производительности сетей Ethernet - средства IP Infusion для ускорения цикла разработки с использованием COTS (Common off-the-shelf) для реализации протоколов - технология эмуляции от IKOS - платформа OSE Systems для разработки приложений реального времени (включая OSE RTOS). Тур по 10 городам (Dallas, San Jose, Ottawa, Boston, RTP, Helsinki, Munich, Tokyo, Seoul, Hsincchu) будет проходить с 16 апреля по 28 мая. Ixia распространяет мощные, распределенные мультипортовые генераторы трафика и анализаторы производительности сетей. IP Infusion, основанная в 1999 году, разрабатывает программное обеспечение для открытого взаимодействие компонент сетей независимо от технологий и платформ. OSE Systems разрабатывает RTOS для рынка телекоммуникаций. Среди пользователей продуктов OSE Systems: Ericsson, Lockheed Martin, Samsung, Agere Systems, Sony и Boeing. OSE Systems - это подразделение Enea Data. Дополнительная информация : http://www.ixiacom.com/seminars.php http://www.ixiacom.com http://www.ipinfusion.com http://www.ose.com ====================================================================== 25 апреля Cadence и Beijing организуют институт для обучения электронному проектированию ====================================================================== Этот институт станет совместным венчурным предприятием Cadence Design Systems, Inc. и Beijing Zhongguancun Software Education Co. Ltd. Институт предназначен для обучения "post-graduate-level" инженеров. Ожидается, что он начнет свою работу в октябре 2002 года и примет в этом году 300 студентов. Затем планируется ежегодно обучать 1,000 студентов в год в течение пяти лет. Китай демонстрирует потрясающий рост в электронной промышленности в последние годы. Cadence анонсировала намерение инвестировать 50 миллионов долларов в создание в Китае мощной сети центров исследований, разработок, поддержки пользователей и сервиса в электронном проектировании. Дополнительная информация : http://www.cadence.com ====================================================================== 22 апреля 39-я DAC дает возможность обучения ветеранам и новичкам ====================================================================== Впервые в программу конференции включены семинары для посетителей с неспециальной для EDA подготовкой. 9 июня - "Обучение функциональной верификации" Предназначен для студентов университетов 10 июня - "Введение в чипы и EDA" Предназначен для не-специалистов и бизнес-посетителей DAC. Цель - дать им общее представление и объяснить почему перспективно вкладывание средств в EDA-индустрию. 10 июня - "Interoperability Workshop" Предназначен для обсуждения проблем взаимодействия средств EDA и разработки соответствующих стандартов. Это уже 3-ий такой семинар. В прошлом году была сформирована Open Access Coalition и выдвинута модель стандартного API. 10 июня - "Женщины в EDA" Дополнительная информация : http://www.dac.com ==================================================================== 28 октября Sutherland HDL проводит учебный семинар по SystemVerilog ==================================================================== SystemVerilog расширяет стнадарт IEEE Verilog-2001 высокоуровневыми конструкциями моделирования из C и C++, а также возможностями контроля значений и языковыми конструкциями для моделирования и верификации больших и сложных проектов. SystemVerilog стал промышленным стандартом 4 июня этого года и получил широкое одобрение от ведущих поставщиков средств EDA. Основой SystemVerilog послужил Superlog фирмы Co-Design (которую недавно приобрела Synopsis). SystemVerilog уже поддерживается симуляцией, синтезом и др. средствами EDA. "SystemVerilog представляет будущее Verilog" - по мнению прдставителей Sutherland HDL. Цена участия в семинаре - $1,750. Sutherland HDL проводит семинары по Verilog, SystemVerilog, VHDL, Verilog PLI. Дополнительная информация : http://www.sutherland-hdl.com 12.2. On-line обучение -------- 2001 год ----------------- =================================================================== 10 сентября IKOS Systems расширяет свою образовательную программу =================================================================== Образовательная программа iLearn фирмы IKOS Systems дополнена WEB-порталом PtP (Portal-to-Productivity), базирующимся на интерактивном обучении, влючая симуляционные лабораторные работы и on-line помощь. Эта программа предоставляется бесплатно для всех зарегистрированных пользователей IKOS. Дополнительная информация : http://www.ikos.com/education =================================================================== 1 октября Synchronicity открывает WBT с помощью Vitalect =================================================================== Эти обучающие Internet-курсы (WBT-Web-Based Training) посвящены продуктам DesignSync и ProjectSync. DesignSync и ProjectSync обеспечивают эффективное управление данными, методы параллельной разработки, и взаимодействия распределенных команд. Vitalect, основанная в 1997 году, поставляет систему управления содержанием обучения, то есть, инструментальную систему дистанционного обучения, основанную на Интернет-технологиях. Дополнительная информация : http://www.synchronicity.com/Services/Training/wbt/wbt.html http://www.vitalect.com =================================================================== 11 октября Бесплатное WEB-обучение FPGA проектированию =================================================================== Aldec обеспечивает интерактивное обучение разработчиков FPGA языку VHDL и работе в Active-HDL. Сейчас на сайте имеется 7 курсов: - методология разработки снизу-вверх - методология разработки сверху-вниз - управление проектом - отладка - симуляция - тесты - покрытие кода Дополнительная информация : http://www.aldec.com ====================================================================== 17 октября Flextronics и Cal Poly University разрабатывают новый образовательный WEB сайт ====================================================================== Цель этого сайта - обеспечить студентов и профессионалов необходимой информацией в области проектирования и производства электронных устройств. Дополнительная информация : http://www.flextech101.com http://www.calpoly.edu http://www.flextronics.com ================================================================= 17 октября Бесплатные WEB-курсы обучения VHDL & Verilog ================================================================= Aldec открыла таковые у себя на сайте. Курсы включают серию вопросов и ответов для тестирования знания языков инженерами. Имеется полное описание языка и более 750 примеров HDL конструкций. Обучение проходит от логических функций до схем и HDL описаний. Указывается, как VHDL и Verilog могут существенно сократить сроки разработки. Дополнительная информация : http://www.aldec.com =================================================================== 22 октября e*ECAD анонсирует продвинутую функциональность сайта =================================================================== e*ECAD - это первый и единственный провайдер EDA средств, использующий он-лайн режимы на условиях почасовой оплаты или оплаты за использование. Доступные средства можно искать по типу, производителю, или в алфавитном порядке. Выделено 4 категории продуктов - Technology - Front-End Design - Physical Design - Back-End Design Имеется также раздел поиска служб консультации, которые разделены на 6 категорий: (1) chip development, (2) technology process, (3) tool flow, (4) system level specialty, (5) additional support, (6) vendor-specific support. Дополнительная информация : http://www.eecad.com -------- 2002 год ----------------- ===================================================================== 12 февраля Xilinx и DigitalMentors предлагают e-Learning для домашних сетей и цифрового видео ===================================================================== Для тех, кто зарегистрируется до 15 марта на www.xilinx.com/esp предлагаются трехмесячные бесплатные курсы. Xilinx eSP (www.xilinx.com/esp) - это портал, посвященный ускорению проектирования и разработки. DigitalMentors (Limerick, Ireland) - компания, специализирующаяся на e-Learning. Дополнительная информация : http://www.digitalmentors.com http://www.xilinx.com/esp ===================================================================== 15 февраля CMC получила $9.3 миллиона от CFI для организации национальной тестовой лаборатории коллективного пользования ===================================================================== CMC (Canadian Microelectronics Corporation) - это некоммерческая организация, объединяющая канадские университеты и промышленные предприятия, основана в 1984 году. CFI (Canada Foundation Innovation) - канадский инновационный фонд (основанный в 1997 году) получил на это средства от NSERC (Natural Sciences and Engineering Research Council). NSERC - федеральное агенство инвестиций в людей, открытия и иннновации. NSERC поддерживает базовые университетские исследования. Создание лаборатории позволит университетским ученым использовать Интернет для тестирования и валидации высоккопроизводительных чипов на сорвеменном уровне. В течение ближайших четырех лет эта совместная тестовая лаборатория будет использована в 22 канадских университетах, входящих в CMC National Design Network, которые проводят соответствующие иссследования. Тестовое оборудование разместиться в четырех специализированных тестовых лабораториях: - Advanced Photonics Lab в Queen University, - Advanced Mixed-Signal Lab в McGill University; - Advanced Digital Systems Lab в University of Toronto, - Advanced RF Lab в University of Manitoba. Совместная тестовая лаборатория такого масштаба организуется впервые в мире. Цель - повысить качество соответствующего образования в Канаде. Дополнительная информация : http://www.cmc.ca http://www.innovation.ca http://www.nserc.ca ===================================================================== 15 февраля Willamette HDL создает учебный курс по System C на основе Cocentric System Studio фирмы Synopsis ===================================================================== 4-дневный курс включает лекции и лабораторные работы. SystemC - стандартный язык проектирования и верификации на системном уровне, который поддерживает разработку от концепции до реализации в программном и аппаратном обеспечении. Инструктор курса пишет SystemC модели, знакомя тем самым обучаемых с библиотекой классов, которая поставляет моделирующие конструкции для описания систем и аппаратного обеспечения. Записаться на курсы можно по адресу http://www.synopsys.com/cgi-bin/dispatch/ces/education.cgi или непосредственно на сайте Willamette HDL. Willamette HDL основана в 1993 году, ориентирована на on-line обучение и консультационный сервис в разработке аппаратного обеспечения на базе HDL (Hardware Description Language). В настоящее время проводится обучение языкам VHDL, Verilog и SystemC. Обучение прошло более 2,500 тысяч человек. Дополнительная информация : http://www.whdl.com ==================================================================== 6 августа TI развивает on-line поддержку ==================================================================== Web стал частью процесса проектирования и это самый удобный способ доступа к информации в режиме 24/7. TI обновила свой портал: http://www.dspvillage.ti.com/launch2 - систематизировн доступ к информации об устройствах, средствах разработки, разработчиках - мультимедиа тьюториалы - анонсы - Discussion Groups - http://www.dspvillage.ti.com/discussi - E-Store - http://www.dspvillage.ti.com/tiestore1 http://www.dspvillage.ti.com/ordercd3 . - Getting Started - http://www.dspvillage.ti.com/gettingstartedc - DSP KnowledgeBase - http://www.ti.com/sc/dspkbaseb Дополнительная информация : http://www.dspvillage.ti.com/launch2 ===================================================================== 9 сентября Aldec и McGraw-Hill создают учебные курсы по созданию и симуляции Verilog-проектов ===================================================================== Курс, созданный Dr. Navabi, включает CD-ROM, содержащий ведущие средства разработки, (ACtive-HDL, Student Edition) позволяя пользователю изучать Verilog на своем компьютере в соответствии с уровнем собственной компетенции в HDL. Active-HDL Student Edition включает HDL Editor, Language Assistant, Block Diagram Editor, State Machine Editor и Waveform Viewer, а также VHDL и Verilog tutorials. Курс можно купить через Интернет. Дополнительная информация : http://books.mcgraw-hill.com http://amazon.com http://www.aldec.com ===================================================================== 16 сентября Get2Chip анонсирует DesignZone ===================================================================== DesignZone - это специальная образовательная секция на портале фирмы. Здесь разработчики могут найти и забрать реальные примеры проектов в различных прикладных областях , например DSP, сетевая обработка, телекоммуникации - указывая как проектировать на уровне абстракции более высоком, чем RTL. Эти проекты были промоделированы на архитектурном уровне абстракции, используя стиль POCA (Pins-out Cycle-accurate) Verilog. Затем они были автоматически синтезированы с помощью Get2Chip Architectural Compiler (G2C-AC). DesignZone сейчас содержит 3 проекта: Embedded Zero-Tree Wavelet Encoding (EZW), Ethernet MAC и Triple-Data Encryption (3DES). Проекты сначала были закодированы на C и отлажены, затем ковертированы в IO accurate Verilog и проверены на тех же тестах. Для каждого проекта можно БЕСПЛАТНО забрать с сайта С-пакет (С-код и тесты) и Verilog-пакет(Verilog-код, тесты и скрипты синтеза). Дополнительная информация : http://www.get2chip.com/docs/designzone/design_zone.asp ===================================================================== 24 сентября TransEDA выложила Verification Methodology Manual на DACAFE: ===================================================================== http://www.dacafe.com/DACafe/EDATools/BOOKINFO/TransEDA/index.html http://www.transeda.com ===================================================================== 24 сентября Summit Design анонсирует WWW-центр технической поддержки ===================================================================== http://www.summit-design.com 12.3. Обобщения и выводы Пользователями и поставщиками EDA-средств осознано, что последние становятся все сложнее и сложнее для освоения и эксплуатации. И потому важными факторами, увеличивающим продажу таких продуктов, являются доступность центров квалифицированного обучения работе с этими средствами. Параллельно начинается активное внедрение новых информационных технологий в процесс обучения, обеспечивающих возможность обучаться в удобное время и в удобном месте посредством Интернет. Очевидно, что чрезвычайно востребованы сейчас как курсы обучения работе с различными EDA-средствами, языками и методологиями, так и инструментальные системы организации обучения на базе Интернет- технологий. Заключение Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала http://www.dacafe.com в период с января 2001 по октябрь 2002 года. В будущем предполагается регулярное ознакомление читателей с новостями портала, с увеличением аналитической составляющей и сохранением систематического подхода.